@momentum-ui/web-components 2.23.17 → 2.23.19
This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
- package/dist/1251.js +1 -0
- package/dist/1357.js +1 -0
- package/dist/142.js +47 -0
- package/dist/1432.js +1 -0
- package/dist/1618.js +1 -0
- package/dist/1647.js +1 -0
- package/dist/1766.js +1 -0
- package/dist/1818.js +1 -0
- package/dist/1821.js +26 -0
- package/dist/1861.js +1 -0
- package/dist/2034.js +59 -0
- package/dist/2050.js +1 -0
- package/dist/2132.js +1 -0
- package/dist/2210.js +1 -0
- package/dist/2245.js +64 -0
- package/dist/2273.js +218 -0
- package/dist/2364.js +1 -0
- package/dist/2369.js +9 -0
- package/dist/242.js +1 -0
- package/dist/2451.js +1 -0
- package/dist/2900.js +1 -0
- package/dist/2942.js +1 -0
- package/dist/2948.js +1 -0
- package/dist/3144.js +1 -0
- package/dist/3203.js +1 -0
- package/dist/3211.js +1 -0
- package/dist/3213.js +1 -0
- package/dist/3331.js +1 -0
- package/dist/3589.js +1 -0
- package/dist/362.js +1 -0
- package/dist/3623.js +1 -0
- package/dist/3624.js +1 -0
- package/dist/3639.js +17 -0
- package/dist/3671.js +1 -0
- package/dist/3745.js +1 -0
- package/dist/3797.js +1 -0
- package/dist/3876.js +1 -0
- package/dist/3990.js +1 -0
- package/dist/4034.js +1 -0
- package/dist/4258.js +1 -0
- package/dist/4431.js +1 -0
- package/dist/4440.js +1 -0
- package/dist/4621.js +18 -0
- package/dist/4700.js +1 -0
- package/dist/4709.js +1 -0
- package/dist/4781.js +1 -0
- package/dist/4886.js +1 -0
- package/dist/5074.js +1 -0
- package/dist/5171.js +1 -0
- package/dist/519.js +1 -0
- package/dist/5201.js +1 -0
- package/dist/5232.js +1 -0
- package/dist/53.js +1 -0
- package/dist/5323.js +1 -0
- package/dist/5339.js +1 -0
- package/dist/5410.js +16 -0
- package/dist/5584.js +1 -0
- package/dist/561.js +1 -0
- package/dist/5757.js +1 -0
- package/dist/5812.js +1 -0
- package/dist/5846.js +1 -0
- package/dist/5983.js +77 -0
- package/dist/6059.js +1 -0
- package/dist/608.js +1 -0
- package/dist/6142.js +1 -0
- package/dist/6807.js +1 -0
- package/dist/6812.js +1 -0
- package/dist/6990.js +1 -0
- package/dist/6994.js +1 -0
- package/dist/7025.js +1 -0
- package/dist/708.js +26 -0
- package/dist/7091.js +1 -0
- package/dist/7103.js +1 -1
- package/dist/7120.js +1 -0
- package/dist/7154.js +1 -0
- package/dist/7162.js +1 -0
- package/dist/7175.js +1 -0
- package/dist/7388.js +1 -0
- package/dist/7413.js +1 -0
- package/dist/7557.js +1 -0
- package/dist/7569.js +22 -0
- package/dist/7582.js +1 -0
- package/dist/760.js +1 -0
- package/dist/7704.js +1 -0
- package/dist/7825.js +1 -0
- package/dist/7905.js +1 -0
- package/dist/7906.js +11 -0
- package/dist/7952.js +1 -0
- package/dist/8008.js +1 -0
- package/dist/801.js +8 -0
- package/dist/8138.js +1 -0
- package/dist/8180.js +1 -0
- package/dist/8227.js +1 -1
- package/dist/8371.js +8 -0
- package/dist/8441.js +1 -0
- package/dist/8497.js +1 -0
- package/dist/8663.js +76 -0
- package/dist/8684.js +1 -0
- package/dist/878.js +1 -0
- package/dist/904.js +1 -0
- package/dist/9189.js +124 -0
- package/dist/9244.js +1 -0
- package/dist/93.js +1 -0
- package/dist/936.js +1 -0
- package/dist/9502.js +1 -0
- package/dist/9609.js +1 -0
- package/dist/9613.js +22 -0
- package/dist/9669.js +1 -0
- package/dist/9773.js +1 -0
- package/dist/9890.js +1 -0
- package/dist/9938.js +1 -0
- package/dist/9950.js +1 -0
- package/dist/9983.js +1 -0
- package/dist/assets/icons/svg/accessibility-bold.svg +1 -0
- package/dist/assets/icons/svg/accessibility-light.svg +1 -0
- package/dist/assets/icons/svg/accessibility-regular.svg +1 -0
- package/dist/assets/icons/svg/accessories-bold.svg +1 -0
- package/dist/assets/icons/svg/accessories-filled.svg +1 -0
- package/dist/assets/icons/svg/accessories-light.svg +1 -0
- package/dist/assets/icons/svg/accessories-regular.svg +1 -0
- package/dist/assets/icons/svg/accordian-bold.svg +1 -0
- package/dist/assets/icons/svg/accordian-light.svg +1 -0
- package/dist/assets/icons/svg/accordian-regular.svg +1 -0
- package/dist/assets/icons/svg/active-presence-small-filled.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-alert-bold.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-alert-light.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-alert-regular.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-bold.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-light.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-lock-bold.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-lock-light.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-lock-regular.svg +1 -0
- package/dist/assets/icons/svg/active-speaker-regular.svg +1 -0
- package/dist/assets/icons/svg/add-option-bold.svg +1 -0
- package/dist/assets/icons/svg/add-option-light.svg +1 -0
- package/dist/assets/icons/svg/add-option-regular.svg +1 -0
- package/dist/assets/icons/svg/add-photo-bold.svg +1 -0
- package/dist/assets/icons/svg/add-photo-light.svg +1 -0
- package/dist/assets/icons/svg/add-photo-regular.svg +1 -0
- package/dist/assets/icons/svg/add-poll-bold.svg +1 -0
- package/dist/assets/icons/svg/add-poll-light.svg +1 -0
- package/dist/assets/icons/svg/add-poll-regular.svg +1 -0
- package/dist/assets/icons/svg/add-question-bold.svg +1 -0
- package/dist/assets/icons/svg/add-question-light.svg +1 -0
- package/dist/assets/icons/svg/add-question-regular.svg +1 -0
- package/dist/assets/icons/svg/add-video-marker-bold.svg +1 -0
- package/dist/assets/icons/svg/add-video-marker-light.svg +1 -0
- package/dist/assets/icons/svg/add-video-marker-regular.svg +1 -0
- package/dist/assets/icons/svg/adjust-audio-bold.svg +1 -0
- package/dist/assets/icons/svg/adjust-audio-light.svg +1 -0
- package/dist/assets/icons/svg/adjust-audio-regular.svg +1 -0
- package/dist/assets/icons/svg/adjust-bold.svg +1 -0
- package/dist/assets/icons/svg/adjust-filled.svg +1 -0
- package/dist/assets/icons/svg/adjust-horizontal-bold.svg +1 -0
- package/dist/assets/icons/svg/adjust-horizontal-filled.svg +1 -0
- package/dist/assets/icons/svg/adjust-horizontal-light.svg +1 -0
- package/dist/assets/icons/svg/adjust-horizontal-regular.svg +1 -0
- package/dist/assets/icons/svg/adjust-light.svg +1 -0
- package/dist/assets/icons/svg/adjust-microphone-bold.svg +1 -0
- package/dist/assets/icons/svg/adjust-microphone-light.svg +1 -0
- package/dist/assets/icons/svg/adjust-microphone-regular.svg +1 -0
- package/dist/assets/icons/svg/adjust-regular.svg +1 -0
- package/dist/assets/icons/svg/adjust-video-bold.svg +1 -0
- package/dist/assets/icons/svg/adjust-video-light.svg +1 -0
- package/dist/assets/icons/svg/adjust-video-regular.svg +1 -0
- package/dist/assets/icons/svg/admin-bold.svg +1 -0
- package/dist/assets/icons/svg/admin-filled.svg +1 -0
- package/dist/assets/icons/svg/admin-light.svg +1 -0
- package/dist/assets/icons/svg/admin-regular.svg +1 -0
- package/dist/assets/icons/svg/advance-schedule-bold.svg +1 -0
- package/dist/assets/icons/svg/advance-schedule-light.svg +1 -0
- package/dist/assets/icons/svg/advance-schedule-regular.svg +1 -0
- package/dist/assets/icons/svg/advanced-noise-removal-bold.svg +1 -0
- package/dist/assets/icons/svg/advanced-noise-removal-light.svg +1 -0
- package/dist/assets/icons/svg/advanced-noise-removal-regular.svg +1 -0
- package/dist/assets/icons/svg/agent-unique-code-bold.svg +1 -0
- package/dist/assets/icons/svg/agent-unique-code-light.svg +1 -0
- package/dist/assets/icons/svg/agent-unique-code-regular.svg +1 -0
- package/dist/assets/icons/svg/airplay-bold.svg +1 -0
- package/dist/assets/icons/svg/airplay-light.svg +1 -0
- package/dist/assets/icons/svg/airplay-regular.svg +1 -0
- package/dist/assets/icons/svg/alarm-bold.svg +1 -0
- package/dist/assets/icons/svg/alarm-filled.svg +1 -0
- package/dist/assets/icons/svg/alarm-light.svg +1 -0
- package/dist/assets/icons/svg/alarm-regular.svg +1 -0
- package/dist/assets/icons/svg/alert-active-bold.svg +1 -0
- package/dist/assets/icons/svg/alert-active-filled.svg +1 -0
- package/dist/assets/icons/svg/alert-active-light.svg +1 -0
- package/dist/assets/icons/svg/alert-active-regular.svg +1 -0
- package/dist/assets/icons/svg/alert-bold.svg +1 -0
- package/dist/assets/icons/svg/alert-filled.svg +1 -0
- package/dist/assets/icons/svg/alert-light.svg +1 -0
- package/dist/assets/icons/svg/alert-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/alert-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/alert-muted-light.svg +1 -0
- package/dist/assets/icons/svg/alert-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/alert-regular.svg +1 -0
- package/dist/assets/icons/svg/align-bold.svg +1 -0
- package/dist/assets/icons/svg/align-bottom-bold.svg +1 -0
- package/dist/assets/icons/svg/align-bottom-filled.svg +1 -0
- package/dist/assets/icons/svg/align-bottom-light.svg +1 -0
- package/dist/assets/icons/svg/align-bottom-regular.svg +1 -0
- package/dist/assets/icons/svg/align-filled.svg +1 -0
- package/dist/assets/icons/svg/align-horizontal-center-bold.svg +1 -0
- package/dist/assets/icons/svg/align-horizontal-center-filled.svg +1 -0
- package/dist/assets/icons/svg/align-horizontal-center-light.svg +1 -0
- package/dist/assets/icons/svg/align-horizontal-center-regular.svg +1 -0
- package/dist/assets/icons/svg/align-left-bold.svg +1 -0
- package/dist/assets/icons/svg/align-left-filled.svg +1 -0
- package/dist/assets/icons/svg/align-left-light.svg +1 -0
- package/dist/assets/icons/svg/align-left-regular.svg +1 -0
- package/dist/assets/icons/svg/align-light.svg +1 -0
- package/dist/assets/icons/svg/align-regular.svg +1 -0
- package/dist/assets/icons/svg/align-right-bold.svg +1 -0
- package/dist/assets/icons/svg/align-right-filled.svg +1 -0
- package/dist/assets/icons/svg/align-right-light.svg +1 -0
- package/dist/assets/icons/svg/align-right-regular.svg +1 -0
- package/dist/assets/icons/svg/align-top-bold.svg +1 -0
- package/dist/assets/icons/svg/align-top-filled.svg +1 -0
- package/dist/assets/icons/svg/align-top-light.svg +1 -0
- package/dist/assets/icons/svg/align-top-regular.svg +1 -0
- package/dist/assets/icons/svg/align-vertical-center-bold.svg +1 -0
- package/dist/assets/icons/svg/align-vertical-center-filled.svg +1 -0
- package/dist/assets/icons/svg/align-vertical-center-light.svg +1 -0
- package/dist/assets/icons/svg/align-vertical-center-regular.svg +1 -0
- package/dist/assets/icons/svg/allow-to-annotate-bold.svg +1 -0
- package/dist/assets/icons/svg/allow-to-annotate-light.svg +1 -0
- package/dist/assets/icons/svg/allow-to-annotate-regular.svg +1 -0
- package/dist/assets/icons/svg/alternate-response-bold.svg +1 -0
- package/dist/assets/icons/svg/alternate-response-light.svg +1 -0
- package/dist/assets/icons/svg/alternate-response-regular.svg +1 -0
- package/dist/assets/icons/svg/analog-headset-bold.svg +1 -0
- package/dist/assets/icons/svg/analog-headset-light.svg +1 -0
- package/dist/assets/icons/svg/analog-headset-regular.svg +1 -0
- package/dist/assets/icons/svg/analysis-bold.svg +1 -0
- package/dist/assets/icons/svg/analysis-filled.svg +1 -0
- package/dist/assets/icons/svg/analysis-light.svg +1 -0
- package/dist/assets/icons/svg/analysis-regular.svg +1 -0
- package/dist/assets/icons/svg/annotate-bold.svg +1 -0
- package/dist/assets/icons/svg/annotate-filled.svg +1 -0
- package/dist/assets/icons/svg/annotate-light.svg +1 -0
- package/dist/assets/icons/svg/annotate-regular.svg +1 -0
- package/dist/assets/icons/svg/announcement-bold.svg +1 -0
- package/dist/assets/icons/svg/announcement-filled.svg +1 -0
- package/dist/assets/icons/svg/announcement-light.svg +1 -0
- package/dist/assets/icons/svg/announcement-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/announcement-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/announcement-muted-light.svg +1 -0
- package/dist/assets/icons/svg/announcement-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/announcement-regular.svg +1 -0
- package/dist/assets/icons/svg/app-push-bold.svg +1 -0
- package/dist/assets/icons/svg/app-push-light.svg +1 -0
- package/dist/assets/icons/svg/app-push-regular.svg +1 -0
- package/dist/assets/icons/svg/appearance-bold.svg +1 -0
- package/dist/assets/icons/svg/appearance-light.svg +1 -0
- package/dist/assets/icons/svg/appearance-regular.svg +1 -0
- package/dist/assets/icons/svg/applause-bold.svg +1 -0
- package/dist/assets/icons/svg/applause-light.svg +1 -0
- package/dist/assets/icons/svg/applause-regular.svg +1 -0
- package/dist/assets/icons/svg/apple-bold.svg +1 -0
- package/dist/assets/icons/svg/apple-filled.svg +1 -0
- package/dist/assets/icons/svg/apple-light.svg +1 -0
- package/dist/assets/icons/svg/apple-regular.svg +1 -0
- package/dist/assets/icons/svg/application-bold.svg +1 -0
- package/dist/assets/icons/svg/application-light.svg +1 -0
- package/dist/assets/icons/svg/application-panel-bold.svg +1 -0
- package/dist/assets/icons/svg/application-panel-light.svg +1 -0
- package/dist/assets/icons/svg/application-panel-regular.svg +1 -0
- package/dist/assets/icons/svg/application-regular.svg +1 -0
- package/dist/assets/icons/svg/applications-bold.svg +1 -0
- package/dist/assets/icons/svg/applications-filled.svg +1 -0
- package/dist/assets/icons/svg/applications-light.svg +1 -0
- package/dist/assets/icons/svg/applications-regular.svg +1 -0
- package/dist/assets/icons/svg/approval-pending-bold.svg +1 -0
- package/dist/assets/icons/svg/approval-pending-light.svg +1 -0
- package/dist/assets/icons/svg/approval-pending-regular.svg +1 -0
- package/dist/assets/icons/svg/approvals-bold.svg +1 -0
- package/dist/assets/icons/svg/approvals-light.svg +1 -0
- package/dist/assets/icons/svg/approvals-regular.svg +1 -0
- package/dist/assets/icons/svg/apps-bold.svg +1 -0
- package/dist/assets/icons/svg/apps-filled.svg +1 -0
- package/dist/assets/icons/svg/apps-light.svg +1 -0
- package/dist/assets/icons/svg/apps-regular.svg +1 -0
- package/dist/assets/icons/svg/archive-bold.svg +1 -0
- package/dist/assets/icons/svg/archive-filled.svg +1 -0
- package/dist/assets/icons/svg/archive-light.svg +1 -0
- package/dist/assets/icons/svg/archive-regular.svg +1 -0
- package/dist/assets/icons/svg/area-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/area-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/area-chart-light.svg +1 -0
- package/dist/assets/icons/svg/area-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/area-selector-bold.svg +1 -0
- package/dist/assets/icons/svg/area-selector-light.svg +1 -0
- package/dist/assets/icons/svg/area-selector-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-down-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-down-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-down-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-down-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-left-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-left-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-left-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-left-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-right-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-right-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-right-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-right-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-up-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-up-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-up-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-circle-up-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-down-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-down-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-down-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-down-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-left-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-left-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-left-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-left-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-pointer-cursor-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-right-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-right-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-right-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-right-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-down-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-down-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-down-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-up-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-up-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-tail-up-regular.svg +1 -0
- package/dist/assets/icons/svg/arrow-up-bold.svg +1 -0
- package/dist/assets/icons/svg/arrow-up-filled.svg +1 -0
- package/dist/assets/icons/svg/arrow-up-light.svg +1 -0
- package/dist/assets/icons/svg/arrow-up-regular.svg +1 -0
- package/dist/assets/icons/svg/ask-for-help-bold.svg +1 -0
- package/dist/assets/icons/svg/ask-for-help-filled.svg +1 -0
- package/dist/assets/icons/svg/ask-for-help-light.svg +1 -0
- package/dist/assets/icons/svg/ask-for-help-regular.svg +1 -0
- package/dist/assets/icons/svg/assets-bold.svg +1 -0
- package/dist/assets/icons/svg/assets-filled.svg +1 -0
- package/dist/assets/icons/svg/assets-light.svg +1 -0
- package/dist/assets/icons/svg/assets-regular.svg +1 -0
- package/dist/assets/icons/svg/assign-host-bold.svg +1 -0
- package/dist/assets/icons/svg/assign-host-light.svg +1 -0
- package/dist/assets/icons/svg/assign-host-regular.svg +1 -0
- package/dist/assets/icons/svg/assign-privilege-bold.svg +1 -0
- package/dist/assets/icons/svg/assign-privilege-filled.svg +1 -0
- package/dist/assets/icons/svg/assign-privilege-light.svg +1 -0
- package/dist/assets/icons/svg/assign-privilege-regular.svg +1 -0
- package/dist/assets/icons/svg/attachment-bold.svg +1 -0
- package/dist/assets/icons/svg/attachment-light.svg +1 -0
- package/dist/assets/icons/svg/attachment-regular.svg +1 -0
- package/dist/assets/icons/svg/attendant-console-bold.svg +1 -0
- package/dist/assets/icons/svg/attendant-console-filled.svg +1 -0
- package/dist/assets/icons/svg/attendant-console-light.svg +1 -0
- package/dist/assets/icons/svg/attendant-console-regular.svg +1 -0
- package/dist/assets/icons/svg/audio-broadcast-bold.svg +1 -0
- package/dist/assets/icons/svg/audio-broadcast-light.svg +1 -0
- package/dist/assets/icons/svg/audio-broadcast-regular.svg +1 -0
- package/dist/assets/icons/svg/audio-call-bold.svg +1 -0
- package/dist/assets/icons/svg/audio-call-filled.svg +1 -0
- package/dist/assets/icons/svg/audio-call-light.svg +1 -0
- package/dist/assets/icons/svg/audio-call-regular.svg +1 -0
- package/dist/assets/icons/svg/audio-enhancement-bold.svg +1 -0
- package/dist/assets/icons/svg/audio-enhancement-filled.svg +1 -0
- package/dist/assets/icons/svg/audio-enhancement-light.svg +1 -0
- package/dist/assets/icons/svg/audio-enhancement-regular.svg +1 -0
- package/dist/assets/icons/svg/audio-only-bold.svg +1 -0
- package/dist/assets/icons/svg/audio-only-light.svg +1 -0
- package/dist/assets/icons/svg/audio-only-regular.svg +1 -0
- package/dist/assets/icons/svg/audio-opt-all-bold.svg +1 -0
- package/dist/assets/icons/svg/audio-opt-all-light.svg +1 -0
- package/dist/assets/icons/svg/audio-opt-all-regular.svg +1 -0
- package/dist/assets/icons/svg/auto-detection-bold.svg +1 -0
- package/dist/assets/icons/svg/auto-detection-light.svg +1 -0
- package/dist/assets/icons/svg/auto-detection-regular.svg +1 -0
- package/dist/assets/icons/svg/automation-bold.svg +1 -0
- package/dist/assets/icons/svg/automation-light.svg +1 -0
- package/dist/assets/icons/svg/automation-regular.svg +1 -0
- package/dist/assets/icons/svg/away-calling-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/away-calling-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/away-calling-presence-light.svg +1 -0
- package/dist/assets/icons/svg/away-calling-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/back-bold.svg +1 -0
- package/dist/assets/icons/svg/back-light.svg +1 -0
- package/dist/assets/icons/svg/back-regular.svg +1 -0
- package/dist/assets/icons/svg/back-to-full-screen-bold.svg +1 -0
- package/dist/assets/icons/svg/back-to-full-screen-light.svg +1 -0
- package/dist/assets/icons/svg/back-to-full-screen-regular.svg +1 -0
- package/dist/assets/icons/svg/back-to-top-bold.svg +1 -0
- package/dist/assets/icons/svg/back-to-top-light.svg +1 -0
- package/dist/assets/icons/svg/back-to-top-regular.svg +1 -0
- package/dist/assets/icons/svg/backlight-bold.svg +1 -0
- package/dist/assets/icons/svg/backlight-light.svg +1 -0
- package/dist/assets/icons/svg/backlight-regular.svg +1 -0
- package/dist/assets/icons/svg/backspace-bold.svg +1 -0
- package/dist/assets/icons/svg/backspace-light.svg +1 -0
- package/dist/assets/icons/svg/backspace-regular.svg +1 -0
- package/dist/assets/icons/svg/backup-data-bold.svg +1 -0
- package/dist/assets/icons/svg/backup-data-light.svg +1 -0
- package/dist/assets/icons/svg/backup-data-regular.svg +1 -0
- package/dist/assets/icons/svg/barcode-bold.svg +1 -0
- package/dist/assets/icons/svg/barcode-light.svg +1 -0
- package/dist/assets/icons/svg/barcode-regular.svg +1 -0
- package/dist/assets/icons/svg/battery-charging-bold.svg +1 -0
- package/dist/assets/icons/svg/battery-charging-light.svg +1 -0
- package/dist/assets/icons/svg/battery-charging-regular.svg +1 -0
- package/dist/assets/icons/svg/battery-empty-bold.svg +1 -0
- package/dist/assets/icons/svg/battery-empty-light.svg +1 -0
- package/dist/assets/icons/svg/battery-empty-regular.svg +1 -0
- package/dist/assets/icons/svg/battery-high-bold.svg +1 -0
- package/dist/assets/icons/svg/battery-high-light.svg +1 -0
- package/dist/assets/icons/svg/battery-high-regular.svg +1 -0
- package/dist/assets/icons/svg/battery-low-bold.svg +1 -0
- package/dist/assets/icons/svg/battery-low-light.svg +1 -0
- package/dist/assets/icons/svg/battery-low-regular.svg +1 -0
- package/dist/assets/icons/svg/battery-medium-bold.svg +1 -0
- package/dist/assets/icons/svg/battery-medium-light.svg +1 -0
- package/dist/assets/icons/svg/battery-medium-regular.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connect-bold.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connect-light.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connect-regular.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connected-bold.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connected-filled.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connected-light.svg +1 -0
- package/dist/assets/icons/svg/bitmoji-connected-regular.svg +1 -0
- package/dist/assets/icons/svg/blind-transfer-bold.svg +1 -0
- package/dist/assets/icons/svg/blind-transfer-light.svg +1 -0
- package/dist/assets/icons/svg/blind-transfer-regular.svg +1 -0
- package/dist/assets/icons/svg/block-quote-bold.svg +1 -0
- package/dist/assets/icons/svg/block-quote-light.svg +1 -0
- package/dist/assets/icons/svg/block-quote-regular.svg +1 -0
- package/dist/assets/icons/svg/blocked-bold.svg +1 -0
- package/dist/assets/icons/svg/blocked-filled.svg +1 -0
- package/dist/assets/icons/svg/blocked-light.svg +1 -0
- package/dist/assets/icons/svg/blocked-regular.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-bold.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-filled.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-light.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-muted-light.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/bluetooth-regular.svg +1 -0
- package/dist/assets/icons/svg/bold-bold.svg +1 -0
- package/dist/assets/icons/svg/bold-filled.svg +1 -0
- package/dist/assets/icons/svg/bold-light.svg +1 -0
- package/dist/assets/icons/svg/bold-regular.svg +1 -0
- package/dist/assets/icons/svg/bookmark-bold.svg +1 -0
- package/dist/assets/icons/svg/bookmark-light.svg +1 -0
- package/dist/assets/icons/svg/bookmark-regular.svg +1 -0
- package/dist/assets/icons/svg/boolean-bold.svg +1 -0
- package/dist/assets/icons/svg/boolean-filled.svg +1 -0
- package/dist/assets/icons/svg/boolean-light.svg +1 -0
- package/dist/assets/icons/svg/boolean-regular.svg +1 -0
- package/dist/assets/icons/svg/bot-bold.svg +1 -0
- package/dist/assets/icons/svg/bot-customer-assistant-bold.svg +1 -0
- package/dist/assets/icons/svg/bot-customer-assistant-filled.svg +1 -0
- package/dist/assets/icons/svg/bot-customer-assistant-light.svg +1 -0
- package/dist/assets/icons/svg/bot-customer-assistant-regular.svg +1 -0
- package/dist/assets/icons/svg/bot-expert-assistant-bold.svg +1 -0
- package/dist/assets/icons/svg/bot-expert-assistant-light.svg +1 -0
- package/dist/assets/icons/svg/bot-expert-assistant-regular.svg +1 -0
- package/dist/assets/icons/svg/bot-light.svg +1 -0
- package/dist/assets/icons/svg/bot-regular.svg +1 -0
- package/dist/assets/icons/svg/box-bold.svg +1 -0
- package/dist/assets/icons/svg/box-light.svg +1 -0
- package/dist/assets/icons/svg/box-regular.svg +1 -0
- package/dist/assets/icons/svg/breakout-session-bold.svg +1 -0
- package/dist/assets/icons/svg/breakout-session-filled.svg +1 -0
- package/dist/assets/icons/svg/breakout-session-light.svg +1 -0
- package/dist/assets/icons/svg/breakout-session-regular.svg +1 -0
- package/dist/assets/icons/svg/brightness-auto-bold.svg +1 -0
- package/dist/assets/icons/svg/brightness-auto-filled.svg +1 -0
- package/dist/assets/icons/svg/brightness-auto-light.svg +1 -0
- package/dist/assets/icons/svg/brightness-auto-regular.svg +1 -0
- package/dist/assets/icons/svg/brightness-bold.svg +1 -0
- package/dist/assets/icons/svg/brightness-filled.svg +1 -0
- package/dist/assets/icons/svg/brightness-high-bold.svg +1 -0
- package/dist/assets/icons/svg/brightness-high-filled.svg +1 -0
- package/dist/assets/icons/svg/brightness-high-light.svg +1 -0
- package/dist/assets/icons/svg/brightness-high-regular.svg +1 -0
- package/dist/assets/icons/svg/brightness-light.svg +1 -0
- package/dist/assets/icons/svg/brightness-low-bold.svg +1 -0
- package/dist/assets/icons/svg/brightness-low-filled.svg +1 -0
- package/dist/assets/icons/svg/brightness-low-light.svg +1 -0
- package/dist/assets/icons/svg/brightness-low-regular.svg +1 -0
- package/dist/assets/icons/svg/brightness-regular.svg +1 -0
- package/dist/assets/icons/svg/broken-file-bold.svg +1 -0
- package/dist/assets/icons/svg/broken-file-filled.svg +1 -0
- package/dist/assets/icons/svg/broken-file-light.svg +1 -0
- package/dist/assets/icons/svg/broken-file-regular.svg +1 -0
- package/dist/assets/icons/svg/browser-bold.svg +1 -0
- package/dist/assets/icons/svg/browser-light.svg +1 -0
- package/dist/assets/icons/svg/browser-regular.svg +1 -0
- package/dist/assets/icons/svg/busy-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/busy-presence-light.svg +1 -0
- package/dist/assets/icons/svg/busy-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/button-cursor-bold.svg +1 -0
- package/dist/assets/icons/svg/button-cursor-light.svg +1 -0
- package/dist/assets/icons/svg/button-cursor-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-add-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-add-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-add-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-add-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-day-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-day-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-day-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-day-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-empty-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-empty-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-empty-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-empty-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-external-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-external-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-external-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-filter-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-filter-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-filter-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-more-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-more-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-more-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-month-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-today-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-today-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-today-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-view-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-view-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-view-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-week-view-regular.svg +1 -0
- package/dist/assets/icons/svg/calendar-work-week-bold.svg +1 -0
- package/dist/assets/icons/svg/calendar-work-week-filled.svg +1 -0
- package/dist/assets/icons/svg/calendar-work-week-light.svg +1 -0
- package/dist/assets/icons/svg/calendar-work-week-regular.svg +1 -0
- package/dist/assets/icons/svg/call-barge-bold.svg +1 -0
- package/dist/assets/icons/svg/call-barge-filled.svg +1 -0
- package/dist/assets/icons/svg/call-barge-light.svg +1 -0
- package/dist/assets/icons/svg/call-barge-regular.svg +1 -0
- package/dist/assets/icons/svg/call-barge-silent-bold.svg +1 -0
- package/dist/assets/icons/svg/call-barge-silent-light.svg +1 -0
- package/dist/assets/icons/svg/call-barge-silent-regular.svg +1 -0
- package/dist/assets/icons/svg/call-forward-settings-bold.svg +1 -0
- package/dist/assets/icons/svg/call-forward-settings-filled.svg +1 -0
- package/dist/assets/icons/svg/call-forward-settings-light.svg +1 -0
- package/dist/assets/icons/svg/call-forward-settings-regular.svg +1 -0
- package/dist/assets/icons/svg/call-group-pickup-bold.svg +1 -0
- package/dist/assets/icons/svg/call-group-pickup-filled.svg +1 -0
- package/dist/assets/icons/svg/call-group-pickup-light.svg +1 -0
- package/dist/assets/icons/svg/call-group-pickup-regular.svg +1 -0
- package/dist/assets/icons/svg/call-handling-bold.svg +1 -0
- package/dist/assets/icons/svg/call-handling-light.svg +1 -0
- package/dist/assets/icons/svg/call-handling-regular.svg +1 -0
- package/dist/assets/icons/svg/call-hold-bold.svg +1 -0
- package/dist/assets/icons/svg/call-hold-filled.svg +1 -0
- package/dist/assets/icons/svg/call-hold-light.svg +1 -0
- package/dist/assets/icons/svg/call-hold-regular.svg +1 -0
- package/dist/assets/icons/svg/call-incoming-bold.svg +1 -0
- package/dist/assets/icons/svg/call-incoming-light.svg +1 -0
- package/dist/assets/icons/svg/call-incoming-regular.svg +1 -0
- package/dist/assets/icons/svg/call-list-bold.svg +1 -0
- package/dist/assets/icons/svg/call-list-light.svg +1 -0
- package/dist/assets/icons/svg/call-list-regular.svg +1 -0
- package/dist/assets/icons/svg/call-merge-bold.svg +1 -0
- package/dist/assets/icons/svg/call-merge-light.svg +1 -0
- package/dist/assets/icons/svg/call-merge-regular.svg +1 -0
- package/dist/assets/icons/svg/call-missed-bold.svg +1 -0
- package/dist/assets/icons/svg/call-missed-filled.svg +1 -0
- package/dist/assets/icons/svg/call-missed-light.svg +1 -0
- package/dist/assets/icons/svg/call-missed-regular.svg +1 -0
- package/dist/assets/icons/svg/call-more-bold.svg +1 -0
- package/dist/assets/icons/svg/call-more-light.svg +1 -0
- package/dist/assets/icons/svg/call-more-regular.svg +1 -0
- package/dist/assets/icons/svg/call-outgoing-bold.svg +1 -0
- package/dist/assets/icons/svg/call-outgoing-light.svg +1 -0
- package/dist/assets/icons/svg/call-outgoing-regular.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-bold.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-filled.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-light.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-other-bold.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-other-filled.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-other-light.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-other-regular.svg +1 -0
- package/dist/assets/icons/svg/call-pickup-regular.svg +1 -0
- package/dist/assets/icons/svg/call-private-bold.svg +1 -0
- package/dist/assets/icons/svg/call-private-filled.svg +1 -0
- package/dist/assets/icons/svg/call-private-light.svg +1 -0
- package/dist/assets/icons/svg/call-private-regular.svg +1 -0
- package/dist/assets/icons/svg/call-remote-bold.svg +1 -0
- package/dist/assets/icons/svg/call-remote-filled.svg +1 -0
- package/dist/assets/icons/svg/call-remote-light.svg +1 -0
- package/dist/assets/icons/svg/call-remote-regular.svg +1 -0
- package/dist/assets/icons/svg/call-request-bold.svg +1 -0
- package/dist/assets/icons/svg/call-request-filled.svg +1 -0
- package/dist/assets/icons/svg/call-request-light.svg +1 -0
- package/dist/assets/icons/svg/call-request-regular.svg +1 -0
- package/dist/assets/icons/svg/call-return-bold.svg +1 -0
- package/dist/assets/icons/svg/call-return-filled.svg +1 -0
- package/dist/assets/icons/svg/call-return-light.svg +1 -0
- package/dist/assets/icons/svg/call-return-regular.svg +1 -0
- package/dist/assets/icons/svg/call-room-bold.svg +1 -0
- package/dist/assets/icons/svg/call-room-light.svg +1 -0
- package/dist/assets/icons/svg/call-room-regular.svg +1 -0
- package/dist/assets/icons/svg/call-settings-bold.svg +1 -0
- package/dist/assets/icons/svg/call-settings-light.svg +1 -0
- package/dist/assets/icons/svg/call-settings-regular.svg +1 -0
- package/dist/assets/icons/svg/call-split-bold.svg +1 -0
- package/dist/assets/icons/svg/call-split-light.svg +1 -0
- package/dist/assets/icons/svg/call-split-regular.svg +1 -0
- package/dist/assets/icons/svg/call-swap-bold.svg +1 -0
- package/dist/assets/icons/svg/call-swap-light.svg +1 -0
- package/dist/assets/icons/svg/call-swap-regular.svg +1 -0
- package/dist/assets/icons/svg/call-voicemail-bold.svg +1 -0
- package/dist/assets/icons/svg/call-voicemail-light.svg +1 -0
- package/dist/assets/icons/svg/call-voicemail-regular.svg +1 -0
- package/dist/assets/icons/svg/callrate-bold.svg +1 -0
- package/dist/assets/icons/svg/callrate-light.svg +1 -0
- package/dist/assets/icons/svg/callrate-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-aux-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-aux-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-aux-light.svg +1 -0
- package/dist/assets/icons/svg/camera-aux-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-light.svg +1 -0
- package/dist/assets/icons/svg/camera-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-muted-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-muted-light.svg +1 -0
- package/dist/assets/icons/svg/camera-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-on-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-on-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-on-light.svg +1 -0
- package/dist/assets/icons/svg/camera-on-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-photo-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-photo-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-photo-light.svg +1 -0
- package/dist/assets/icons/svg/camera-photo-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/camera-presence-light.svg +1 -0
- package/dist/assets/icons/svg/camera-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-regular.svg +1 -0
- package/dist/assets/icons/svg/camera-swap-bold.svg +1 -0
- package/dist/assets/icons/svg/camera-swap-light.svg +1 -0
- package/dist/assets/icons/svg/camera-swap-regular.svg +1 -0
- package/dist/assets/icons/svg/campaign-management-bold.svg +1 -0
- package/dist/assets/icons/svg/campaign-management-light.svg +1 -0
- package/dist/assets/icons/svg/campaign-management-regular.svg +1 -0
- package/dist/assets/icons/svg/cancel-bold.svg +1 -0
- package/dist/assets/icons/svg/cancel-light.svg +1 -0
- package/dist/assets/icons/svg/cancel-regular.svg +1 -0
- package/dist/assets/icons/svg/caps-lock-bold.svg +1 -0
- package/dist/assets/icons/svg/caps-lock-light.svg +1 -0
- package/dist/assets/icons/svg/caps-lock-regular.svg +1 -0
- package/dist/assets/icons/svg/capture-rewind-bold.svg +1 -0
- package/dist/assets/icons/svg/capture-rewind-light.svg +1 -0
- package/dist/assets/icons/svg/capture-rewind-regular.svg +1 -0
- package/dist/assets/icons/svg/carosel-bold.svg +1 -0
- package/dist/assets/icons/svg/carosel-light.svg +1 -0
- package/dist/assets/icons/svg/carosel-regular.svg +1 -0
- package/dist/assets/icons/svg/carousel-text-bold.svg +1 -0
- package/dist/assets/icons/svg/carousel-text-light.svg +1 -0
- package/dist/assets/icons/svg/carousel-text-regular.svg +1 -0
- package/dist/assets/icons/svg/cellular-bold.svg +1 -0
- package/dist/assets/icons/svg/cellular-light.svg +1 -0
- package/dist/assets/icons/svg/cellular-regular.svg +1 -0
- package/dist/assets/icons/svg/center-aligned-bold.svg +1 -0
- package/dist/assets/icons/svg/center-aligned-light.svg +1 -0
- package/dist/assets/icons/svg/center-aligned-regular.svg +1 -0
- package/dist/assets/icons/svg/center-bold.svg +1 -0
- package/dist/assets/icons/svg/center-light.svg +1 -0
- package/dist/assets/icons/svg/center-regular.svg +1 -0
- package/dist/assets/icons/svg/certificate-bold.svg +1 -0
- package/dist/assets/icons/svg/certificate-invalid-bold.svg +1 -0
- package/dist/assets/icons/svg/certificate-invalid-light.svg +1 -0
- package/dist/assets/icons/svg/certificate-invalid-regular.svg +1 -0
- package/dist/assets/icons/svg/certificate-light.svg +1 -0
- package/dist/assets/icons/svg/certificate-regular.svg +1 -0
- package/dist/assets/icons/svg/certified-bold.svg +1 -0
- package/dist/assets/icons/svg/certified-filled.svg +1 -0
- package/dist/assets/icons/svg/certified-light.svg +1 -0
- package/dist/assets/icons/svg/certified-regular.svg +1 -0
- package/dist/assets/icons/svg/ch-p-search-bold.svg +1 -0
- package/dist/assets/icons/svg/ch-p-search-light.svg +1 -0
- package/dist/assets/icons/svg/ch-p-search-regular.svg +1 -0
- package/dist/assets/icons/svg/channel-ussd-bold.svg +1 -0
- package/dist/assets/icons/svg/channel-ussd-light.svg +1 -0
- package/dist/assets/icons/svg/channel-ussd-regular.svg +1 -0
- package/dist/assets/icons/svg/chat-bold.svg +1 -0
- package/dist/assets/icons/svg/chat-filled.svg +1 -0
- package/dist/assets/icons/svg/chat-group-bold.svg +1 -0
- package/dist/assets/icons/svg/chat-group-filled.svg +1 -0
- package/dist/assets/icons/svg/chat-group-light.svg +1 -0
- package/dist/assets/icons/svg/chat-group-regular.svg +1 -0
- package/dist/assets/icons/svg/chat-light.svg +1 -0
- package/dist/assets/icons/svg/chat-mute-bold.svg +1 -0
- package/dist/assets/icons/svg/chat-mute-light.svg +1 -0
- package/dist/assets/icons/svg/chat-mute-regular.svg +1 -0
- package/dist/assets/icons/svg/chat-outbound-filled.svg +1 -0
- package/dist/assets/icons/svg/chat-regular.svg +1 -0
- package/dist/assets/icons/svg/check-bold.svg +1 -0
- package/dist/assets/icons/svg/check-circle-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/check-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/check-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/check-circle-light.svg +1 -0
- package/dist/assets/icons/svg/check-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/check-light.svg +1 -0
- package/dist/assets/icons/svg/check-regular.svg +1 -0
- package/dist/assets/icons/svg/checkbox-group-bold.svg +1 -0
- package/dist/assets/icons/svg/checkbox-group-light.svg +1 -0
- package/dist/assets/icons/svg/checkbox-group-regular.svg +1 -0
- package/dist/assets/icons/svg/clean-up-bold.svg +1 -0
- package/dist/assets/icons/svg/clean-up-light.svg +1 -0
- package/dist/assets/icons/svg/clean-up-regular.svg +1 -0
- package/dist/assets/icons/svg/clear-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/clear-bold.svg +1 -0
- package/dist/assets/icons/svg/clear-filled.svg +1 -0
- package/dist/assets/icons/svg/clear-light.svg +1 -0
- package/dist/assets/icons/svg/clear-regular.svg +1 -0
- package/dist/assets/icons/svg/close-space-bold.svg +1 -0
- package/dist/assets/icons/svg/close-space-light.svg +1 -0
- package/dist/assets/icons/svg/close-space-regular.svg +1 -0
- package/dist/assets/icons/svg/closed-caption-badge-bold.svg +1 -0
- package/dist/assets/icons/svg/closed-caption-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/closed-caption-badge-light.svg +1 -0
- package/dist/assets/icons/svg/closed-caption-badge-regular.svg +1 -0
- package/dist/assets/icons/svg/closed-captions-bold.svg +1 -0
- package/dist/assets/icons/svg/closed-captions-filled.svg +1 -0
- package/dist/assets/icons/svg/closed-captions-light.svg +1 -0
- package/dist/assets/icons/svg/closed-captions-regular.svg +1 -0
- package/dist/assets/icons/svg/cloud-bold.svg +1 -0
- package/dist/assets/icons/svg/cloud-download-bold.svg +1 -0
- package/dist/assets/icons/svg/cloud-download-light.svg +1 -0
- package/dist/assets/icons/svg/cloud-download-regular.svg +1 -0
- package/dist/assets/icons/svg/cloud-filled.svg +1 -0
- package/dist/assets/icons/svg/cloud-frame-bold.svg +1 -0
- package/dist/assets/icons/svg/cloud-frame-filled.svg +1 -0
- package/dist/assets/icons/svg/cloud-frame-light.svg +1 -0
- package/dist/assets/icons/svg/cloud-frame-regular.svg +1 -0
- package/dist/assets/icons/svg/cloud-light.svg +1 -0
- package/dist/assets/icons/svg/cloud-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/cloud-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/cloud-muted-light.svg +1 -0
- package/dist/assets/icons/svg/cloud-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/cloud-regular.svg +1 -0
- package/dist/assets/icons/svg/cloud-upload-bold.svg +1 -0
- package/dist/assets/icons/svg/cloud-upload-light.svg +1 -0
- package/dist/assets/icons/svg/cloud-upload-regular.svg +1 -0
- package/dist/assets/icons/svg/code-block-bold.svg +1 -0
- package/dist/assets/icons/svg/code-block-light.svg +1 -0
- package/dist/assets/icons/svg/code-block-regular.svg +1 -0
- package/dist/assets/icons/svg/coffee-bold.svg +1 -0
- package/dist/assets/icons/svg/coffee-light.svg +1 -0
- package/dist/assets/icons/svg/coffee-regular.svg +1 -0
- package/dist/assets/icons/svg/color-bold.svg +1 -0
- package/dist/assets/icons/svg/color-filled.svg +1 -0
- package/dist/assets/icons/svg/color-light.svg +1 -0
- package/dist/assets/icons/svg/color-regular.svg +1 -0
- package/dist/assets/icons/svg/colour-palette-bold.svg +1 -0
- package/dist/assets/icons/svg/colour-palette-filled.svg +1 -0
- package/dist/assets/icons/svg/colour-palette-light.svg +1 -0
- package/dist/assets/icons/svg/colour-palette-regular.svg +1 -0
- package/dist/assets/icons/svg/commenting-bold.svg +1 -0
- package/dist/assets/icons/svg/commenting-filled.svg +1 -0
- package/dist/assets/icons/svg/commenting-light.svg +1 -0
- package/dist/assets/icons/svg/commenting-regular.svg +1 -0
- package/dist/assets/icons/svg/company-bold.svg +1 -0
- package/dist/assets/icons/svg/company-light.svg +1 -0
- package/dist/assets/icons/svg/company-regular.svg +1 -0
- package/dist/assets/icons/svg/completed-by-base-bold.svg +1 -0
- package/dist/assets/icons/svg/completed-by-base-light.svg +1 -0
- package/dist/assets/icons/svg/completed-by-base-regular.svg +1 -0
- package/dist/assets/icons/svg/completed-by-time-bold.svg +1 -0
- package/dist/assets/icons/svg/completed-by-time-light.svg +1 -0
- package/dist/assets/icons/svg/completed-by-time-regular.svg +1 -0
- package/dist/assets/icons/svg/computer-bold.svg +1 -0
- package/dist/assets/icons/svg/computer-light.svg +1 -0
- package/dist/assets/icons/svg/computer-regular.svg +1 -0
- package/dist/assets/icons/svg/concierge-bold.svg +1 -0
- package/dist/assets/icons/svg/concierge-light.svg +1 -0
- package/dist/assets/icons/svg/concierge-regular.svg +1 -0
- package/dist/assets/icons/svg/conditional-block-bold.svg +1 -0
- package/dist/assets/icons/svg/conditional-block-light.svg +1 -0
- package/dist/assets/icons/svg/conditional-block-regular.svg +1 -0
- package/dist/assets/icons/svg/connect-bold.svg +1 -0
- package/dist/assets/icons/svg/connect-light.svg +1 -0
- package/dist/assets/icons/svg/connect-regular.svg +1 -0
- package/dist/assets/icons/svg/contact-card-bold.svg +1 -0
- package/dist/assets/icons/svg/contact-card-filled.svg +1 -0
- package/dist/assets/icons/svg/contact-card-light.svg +1 -0
- package/dist/assets/icons/svg/contact-card-regular.svg +1 -0
- package/dist/assets/icons/svg/contacts-bold.svg +1 -0
- package/dist/assets/icons/svg/contacts-filled.svg +1 -0
- package/dist/assets/icons/svg/contacts-light.svg +1 -0
- package/dist/assets/icons/svg/contacts-regular.svg +1 -0
- package/dist/assets/icons/svg/content-download-bold.svg +1 -0
- package/dist/assets/icons/svg/content-download-filled.svg +1 -0
- package/dist/assets/icons/svg/content-download-light.svg +1 -0
- package/dist/assets/icons/svg/content-download-regular.svg +1 -0
- package/dist/assets/icons/svg/content-share-bold.svg +1 -0
- package/dist/assets/icons/svg/content-share-light.svg +1 -0
- package/dist/assets/icons/svg/content-share-regular.svg +1 -0
- package/dist/assets/icons/svg/contrast-bold.svg +1 -0
- package/dist/assets/icons/svg/contrast-light.svg +1 -0
- package/dist/assets/icons/svg/contrast-regular.svg +1 -0
- package/dist/assets/icons/svg/copy-bold.svg +1 -0
- package/dist/assets/icons/svg/copy-light.svg +1 -0
- package/dist/assets/icons/svg/copy-regular.svg +1 -0
- package/dist/assets/icons/svg/cpu-bold.svg +1 -0
- package/dist/assets/icons/svg/cpu-light.svg +1 -0
- package/dist/assets/icons/svg/cpu-regular.svg +1 -0
- package/dist/assets/icons/svg/create-a-group-bold.svg +1 -0
- package/dist/assets/icons/svg/create-a-group-filled.svg +1 -0
- package/dist/assets/icons/svg/create-a-group-light.svg +1 -0
- package/dist/assets/icons/svg/create-a-group-regular.svg +1 -0
- package/dist/assets/icons/svg/crop-bold.svg +1 -0
- package/dist/assets/icons/svg/crop-light.svg +1 -0
- package/dist/assets/icons/svg/crop-regular.svg +1 -0
- package/dist/assets/icons/svg/cucm-connection-bold.svg +1 -0
- package/dist/assets/icons/svg/cucm-connection-light.svg +1 -0
- package/dist/assets/icons/svg/cucm-connection-regular.svg +1 -0
- package/dist/assets/icons/svg/custom-code-editor-bold.svg +1 -0
- package/dist/assets/icons/svg/custom-code-editor-light.svg +1 -0
- package/dist/assets/icons/svg/custom-code-editor-regular.svg +1 -0
- package/dist/assets/icons/svg/daily-recurring-schedule-bold.svg +1 -0
- package/dist/assets/icons/svg/daily-recurring-schedule-light.svg +1 -0
- package/dist/assets/icons/svg/daily-recurring-schedule-regular.svg +1 -0
- package/dist/assets/icons/svg/dashboard-bold.svg +1 -0
- package/dist/assets/icons/svg/dashboard-filled.svg +1 -0
- package/dist/assets/icons/svg/dashboard-light.svg +1 -0
- package/dist/assets/icons/svg/dashboard-regular.svg +1 -0
- package/dist/assets/icons/svg/data-management-bold.svg +1 -0
- package/dist/assets/icons/svg/data-management-filled.svg +1 -0
- package/dist/assets/icons/svg/data-management-light.svg +1 -0
- package/dist/assets/icons/svg/data-management-regular.svg +1 -0
- package/dist/assets/icons/svg/data-range-selection-bold.svg +1 -0
- package/dist/assets/icons/svg/data-range-selection-filled.svg +1 -0
- package/dist/assets/icons/svg/data-range-selection-light.svg +1 -0
- package/dist/assets/icons/svg/data-range-selection-regular.svg +1 -0
- package/dist/assets/icons/svg/decline-bold.svg +1 -0
- package/dist/assets/icons/svg/decline-filled.svg +1 -0
- package/dist/assets/icons/svg/decline-light.svg +1 -0
- package/dist/assets/icons/svg/decline-regular.svg +1 -0
- package/dist/assets/icons/svg/dect-handset-bold.svg +1 -0
- package/dist/assets/icons/svg/dect-handset-filled.svg +1 -0
- package/dist/assets/icons/svg/dect-handset-light.svg +1 -0
- package/dist/assets/icons/svg/dect-handset-regular.svg +1 -0
- package/dist/assets/icons/svg/delete-bold.svg +1 -0
- package/dist/assets/icons/svg/delete-filled.svg +1 -0
- package/dist/assets/icons/svg/delete-light.svg +1 -0
- package/dist/assets/icons/svg/delete-regular.svg +1 -0
- package/dist/assets/icons/svg/deployment-evaluation-progress-bold.svg +1 -0
- package/dist/assets/icons/svg/deployment-evaluation-progress-light.svg +1 -0
- package/dist/assets/icons/svg/deployment-evaluation-progress-regular.svg +1 -0
- package/dist/assets/icons/svg/deployment-progress-bold.svg +1 -0
- package/dist/assets/icons/svg/deployment-progress-light.svg +1 -0
- package/dist/assets/icons/svg/deployment-progress-regular.svg +1 -0
- package/dist/assets/icons/svg/deployment-recurring-bold.svg +1 -0
- package/dist/assets/icons/svg/deployment-recurring-light.svg +1 -0
- package/dist/assets/icons/svg/deployment-recurring-regular.svg +1 -0
- package/dist/assets/icons/svg/deployment-winner-pending-bold.svg +1 -0
- package/dist/assets/icons/svg/deployment-winner-pending-light.svg +1 -0
- package/dist/assets/icons/svg/deployment-winner-pending-regular.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-bold.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-filled.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-light.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-regular.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-warning-bold.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-warning-light.svg +1 -0
- package/dist/assets/icons/svg/desk-phone-warning-regular.svg +1 -0
- package/dist/assets/icons/svg/device-connection-bold.svg +1 -0
- package/dist/assets/icons/svg/device-connection-filled.svg +1 -0
- package/dist/assets/icons/svg/device-connection-light.svg +1 -0
- package/dist/assets/icons/svg/device-connection-regular.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-bold.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-end-bold.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-end-light.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-end-regular.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-filled.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-light.svg +1 -0
- package/dist/assets/icons/svg/device-in-room-regular.svg +1 -0
- package/dist/assets/icons/svg/device-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/device-muted-light.svg +1 -0
- package/dist/assets/icons/svg/device-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/device-policy-controller-bold.svg +1 -0
- package/dist/assets/icons/svg/device-policy-controller-light.svg +1 -0
- package/dist/assets/icons/svg/device-policy-controller-regular.svg +1 -0
- package/dist/assets/icons/svg/diagnostics-bold.svg +1 -0
- package/dist/assets/icons/svg/diagnostics-light.svg +1 -0
- package/dist/assets/icons/svg/diagnostics-regular.svg +1 -0
- package/dist/assets/icons/svg/dialpad-bold.svg +1 -0
- package/dist/assets/icons/svg/dialpad-light.svg +1 -0
- package/dist/assets/icons/svg/dialpad-regular.svg +1 -0
- package/dist/assets/icons/svg/directional-pad-bold.svg +1 -0
- package/dist/assets/icons/svg/directional-pad-filled.svg +1 -0
- package/dist/assets/icons/svg/directional-pad-light.svg +1 -0
- package/dist/assets/icons/svg/directional-pad-regular.svg +1 -0
- package/dist/assets/icons/svg/directory-bold.svg +1 -0
- package/dist/assets/icons/svg/directory-light.svg +1 -0
- package/dist/assets/icons/svg/directory-regular.svg +1 -0
- package/dist/assets/icons/svg/disappearing-pen-bold.svg +1 -0
- package/dist/assets/icons/svg/disappearing-pen-light.svg +1 -0
- package/dist/assets/icons/svg/disappearing-pen-regular.svg +1 -0
- package/dist/assets/icons/svg/disc-bold.svg +1 -0
- package/dist/assets/icons/svg/disc-connected-bold.svg +1 -0
- package/dist/assets/icons/svg/disc-connected-light.svg +1 -0
- package/dist/assets/icons/svg/disc-connected-regular.svg +1 -0
- package/dist/assets/icons/svg/disc-light.svg +1 -0
- package/dist/assets/icons/svg/disc-regular.svg +1 -0
- package/dist/assets/icons/svg/disconnect-bold.svg +1 -0
- package/dist/assets/icons/svg/disconnect-filled.svg +1 -0
- package/dist/assets/icons/svg/disconnect-light.svg +1 -0
- package/dist/assets/icons/svg/disconnect-regular.svg +1 -0
- package/dist/assets/icons/svg/dislike-bold.svg +1 -0
- package/dist/assets/icons/svg/dislike-filled.svg +1 -0
- package/dist/assets/icons/svg/dislike-light.svg +1 -0
- package/dist/assets/icons/svg/dislike-regular.svg +1 -0
- package/dist/assets/icons/svg/display-bold.svg +1 -0
- package/dist/assets/icons/svg/display-input-bold.svg +1 -0
- package/dist/assets/icons/svg/display-input-light.svg +1 -0
- package/dist/assets/icons/svg/display-input-regular.svg +1 -0
- package/dist/assets/icons/svg/display-light.svg +1 -0
- package/dist/assets/icons/svg/display-regular.svg +1 -0
- package/dist/assets/icons/svg/display-warning-bold.svg +1 -0
- package/dist/assets/icons/svg/display-warning-light.svg +1 -0
- package/dist/assets/icons/svg/display-warning-regular.svg +1 -0
- package/dist/assets/icons/svg/distribute-horizontal-bold.svg +1 -0
- package/dist/assets/icons/svg/distribute-horizontal-filled.svg +1 -0
- package/dist/assets/icons/svg/distribute-horizontal-light.svg +1 -0
- package/dist/assets/icons/svg/distribute-horizontal-regular.svg +1 -0
- package/dist/assets/icons/svg/distribute-vertically-bold.svg +1 -0
- package/dist/assets/icons/svg/distribute-vertically-filled.svg +1 -0
- package/dist/assets/icons/svg/distribute-vertically-light.svg +1 -0
- package/dist/assets/icons/svg/distribute-vertically-regular.svg +1 -0
- package/dist/assets/icons/svg/dnd-presence-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/dnd-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/dnd-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/dnd-presence-light.svg +1 -0
- package/dist/assets/icons/svg/dnd-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/dock-window-bold.svg +1 -0
- package/dist/assets/icons/svg/dock-window-light.svg +1 -0
- package/dist/assets/icons/svg/dock-window-regular.svg +1 -0
- package/dist/assets/icons/svg/document-bold.svg +1 -0
- package/dist/assets/icons/svg/document-camera-bold.svg +1 -0
- package/dist/assets/icons/svg/document-camera-disconnected-bold.svg +1 -0
- package/dist/assets/icons/svg/document-camera-disconnected-light.svg +1 -0
- package/dist/assets/icons/svg/document-camera-disconnected-regular.svg +1 -0
- package/dist/assets/icons/svg/document-camera-light.svg +1 -0
- package/dist/assets/icons/svg/document-camera-regular.svg +1 -0
- package/dist/assets/icons/svg/document-create-bold.svg +1 -0
- package/dist/assets/icons/svg/document-create-filled.svg +1 -0
- package/dist/assets/icons/svg/document-create-light.svg +1 -0
- package/dist/assets/icons/svg/document-create-regular.svg +1 -0
- package/dist/assets/icons/svg/document-filled.svg +1 -0
- package/dist/assets/icons/svg/document-light.svg +1 -0
- package/dist/assets/icons/svg/document-move-bold.svg +1 -0
- package/dist/assets/icons/svg/document-move-filled.svg +1 -0
- package/dist/assets/icons/svg/document-move-light.svg +1 -0
- package/dist/assets/icons/svg/document-move-regular.svg +1 -0
- package/dist/assets/icons/svg/document-regular.svg +1 -0
- package/dist/assets/icons/svg/document-share-bold.svg +1 -0
- package/dist/assets/icons/svg/document-share-filled.svg +1 -0
- package/dist/assets/icons/svg/document-share-light.svg +1 -0
- package/dist/assets/icons/svg/document-share-regular.svg +1 -0
- package/dist/assets/icons/svg/done-all-bold.svg +1 -0
- package/dist/assets/icons/svg/done-all-light.svg +1 -0
- package/dist/assets/icons/svg/done-all-regular.svg +1 -0
- package/dist/assets/icons/svg/donut-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/donut-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/donut-chart-light.svg +1 -0
- package/dist/assets/icons/svg/donut-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/download-bold.svg +1 -0
- package/dist/assets/icons/svg/download-filled.svg +1 -0
- package/dist/assets/icons/svg/download-light.svg +1 -0
- package/dist/assets/icons/svg/download-regular.svg +1 -0
- package/dist/assets/icons/svg/draft-bold.svg +1 -0
- package/dist/assets/icons/svg/draft-filled.svg +1 -0
- package/dist/assets/icons/svg/draft-indicator-bold.svg +1 -0
- package/dist/assets/icons/svg/draft-indicator-small-filled.svg +1 -0
- package/dist/assets/icons/svg/draft-light.svg +1 -0
- package/dist/assets/icons/svg/draft-regular.svg +1 -0
- package/dist/assets/icons/svg/drag-bold.svg +1 -0
- package/dist/assets/icons/svg/drag-light.svg +1 -0
- package/dist/assets/icons/svg/drag-regular.svg +1 -0
- package/dist/assets/icons/svg/dragger-horizontal-bold.svg +1 -0
- package/dist/assets/icons/svg/dragger-horizontal-light.svg +1 -0
- package/dist/assets/icons/svg/dragger-horizontal-regular.svg +1 -0
- package/dist/assets/icons/svg/dragger-vertical-bold.svg +1 -0
- package/dist/assets/icons/svg/dragger-vertical-light.svg +1 -0
- package/dist/assets/icons/svg/dragger-vertical-regular.svg +1 -0
- package/dist/assets/icons/svg/drive-mode-bold.svg +1 -0
- package/dist/assets/icons/svg/drive-mode-light.svg +1 -0
- package/dist/assets/icons/svg/drive-mode-regular.svg +1 -0
- package/dist/assets/icons/svg/dropdown-bold.svg +1 -0
- package/dist/assets/icons/svg/dropdown-light.svg +1 -0
- package/dist/assets/icons/svg/dropdown-regular.svg +1 -0
- package/dist/assets/icons/svg/dx-eighty-bold.svg +1 -0
- package/dist/assets/icons/svg/dx-eighty-filled.svg +1 -0
- package/dist/assets/icons/svg/dx-eighty-light.svg +1 -0
- package/dist/assets/icons/svg/dx-eighty-regular.svg +1 -0
- package/dist/assets/icons/svg/dx-seventy-bold.svg +1 -0
- package/dist/assets/icons/svg/dx-seventy-light.svg +1 -0
- package/dist/assets/icons/svg/dx-seventy-regular.svg +1 -0
- package/dist/assets/icons/svg/edit-bold.svg +1 -0
- package/dist/assets/icons/svg/edit-filled.svg +1 -0
- package/dist/assets/icons/svg/edit-light.svg +1 -0
- package/dist/assets/icons/svg/edit-regular.svg +1 -0
- package/dist/assets/icons/svg/editable-partial-bold.svg +1 -0
- package/dist/assets/icons/svg/editable-partial-light.svg +1 -0
- package/dist/assets/icons/svg/editable-partial-regular.svg +1 -0
- package/dist/assets/icons/svg/education-bold.svg +1 -0
- package/dist/assets/icons/svg/education-filled.svg +1 -0
- package/dist/assets/icons/svg/education-light.svg +1 -0
- package/dist/assets/icons/svg/education-regular.svg +1 -0
- package/dist/assets/icons/svg/email-bold.svg +1 -0
- package/dist/assets/icons/svg/email-delivered-bold.svg +1 -0
- package/dist/assets/icons/svg/email-delivered-light.svg +1 -0
- package/dist/assets/icons/svg/email-delivered-regular.svg +1 -0
- package/dist/assets/icons/svg/email-failure-bold.svg +1 -0
- package/dist/assets/icons/svg/email-failure-light.svg +1 -0
- package/dist/assets/icons/svg/email-failure-regular.svg +1 -0
- package/dist/assets/icons/svg/email-filled.svg +1 -0
- package/dist/assets/icons/svg/email-invite-bold.svg +1 -0
- package/dist/assets/icons/svg/email-invite-light.svg +1 -0
- package/dist/assets/icons/svg/email-invite-regular.svg +1 -0
- package/dist/assets/icons/svg/email-light.svg +1 -0
- package/dist/assets/icons/svg/email-outgoing-bold.svg +1 -0
- package/dist/assets/icons/svg/email-outgoing-filled.svg +1 -0
- package/dist/assets/icons/svg/email-outgoing-light.svg +1 -0
- package/dist/assets/icons/svg/email-outgoing-regular.svg +1 -0
- package/dist/assets/icons/svg/email-read-bold.svg +1 -0
- package/dist/assets/icons/svg/email-read-filled.svg +1 -0
- package/dist/assets/icons/svg/email-read-light.svg +1 -0
- package/dist/assets/icons/svg/email-read-regular.svg +1 -0
- package/dist/assets/icons/svg/email-regular.svg +1 -0
- package/dist/assets/icons/svg/emoji-excited-bold.svg +1 -0
- package/dist/assets/icons/svg/emoji-excited-filled.svg +1 -0
- package/dist/assets/icons/svg/emoji-excited-light.svg +1 -0
- package/dist/assets/icons/svg/emoji-excited-regular.svg +1 -0
- package/dist/assets/icons/svg/emoji-happy-bold.svg +1 -0
- package/dist/assets/icons/svg/emoji-happy-filled.svg +1 -0
- package/dist/assets/icons/svg/emoji-happy-light.svg +1 -0
- package/dist/assets/icons/svg/emoji-happy-regular.svg +1 -0
- package/dist/assets/icons/svg/emoji-passive-bold.svg +1 -0
- package/dist/assets/icons/svg/emoji-passive-filled.svg +1 -0
- package/dist/assets/icons/svg/emoji-passive-light.svg +1 -0
- package/dist/assets/icons/svg/emoji-passive-regular.svg +1 -0
- package/dist/assets/icons/svg/emoji-sad-bold.svg +1 -0
- package/dist/assets/icons/svg/emoji-sad-filled.svg +1 -0
- package/dist/assets/icons/svg/emoji-sad-light.svg +1 -0
- package/dist/assets/icons/svg/emoji-sad-regular.svg +1 -0
- package/dist/assets/icons/svg/emoji-unhappy-bold.svg +1 -0
- package/dist/assets/icons/svg/emoji-unhappy-filled.svg +1 -0
- package/dist/assets/icons/svg/emoji-unhappy-light.svg +1 -0
- package/dist/assets/icons/svg/emoji-unhappy-regular.svg +1 -0
- package/dist/assets/icons/svg/encryption-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/encryption-filled.svg +1 -0
- package/dist/assets/icons/svg/end-remote-desktop-control-bold.svg +1 -0
- package/dist/assets/icons/svg/end-remote-desktop-control-light.svg +1 -0
- package/dist/assets/icons/svg/end-remote-desktop-control-regular.svg +1 -0
- package/dist/assets/icons/svg/end-to-end-encryption-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/end-to-end-encryption-filled.svg +1 -0
- package/dist/assets/icons/svg/endpoint-blocked-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-blocked-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-blocked-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-dual-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-dual-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-dual-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-seventy-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-stand-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-stand-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-g-two-stand-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-dual-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-dual-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-dual-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-mx-eight-hundred-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-stand-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-stand-filled.svg +1 -0
- package/dist/assets/icons/svg/endpoint-stand-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-stand-regular.svg +1 -0
- package/dist/assets/icons/svg/endpoint-warning-bold.svg +1 -0
- package/dist/assets/icons/svg/endpoint-warning-light.svg +1 -0
- package/dist/assets/icons/svg/endpoint-warning-regular.svg +1 -0
- package/dist/assets/icons/svg/enter-bold.svg +1 -0
- package/dist/assets/icons/svg/enter-light.svg +1 -0
- package/dist/assets/icons/svg/enter-regular.svg +1 -0
- package/dist/assets/icons/svg/enter-room-bold.svg +1 -0
- package/dist/assets/icons/svg/enter-room-filled.svg +1 -0
- package/dist/assets/icons/svg/enter-room-light.svg +1 -0
- package/dist/assets/icons/svg/enter-room-regular.svg +1 -0
- package/dist/assets/icons/svg/eraser-bold.svg +1 -0
- package/dist/assets/icons/svg/eraser-filled.svg +1 -0
- package/dist/assets/icons/svg/eraser-light.svg +1 -0
- package/dist/assets/icons/svg/eraser-regular.svg +1 -0
- package/dist/assets/icons/svg/error-legacy-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/error-legacy-bold.svg +1 -0
- package/dist/assets/icons/svg/error-legacy-filled.svg +1 -0
- package/dist/assets/icons/svg/error-legacy-light.svg +1 -0
- package/dist/assets/icons/svg/error-legacy-regular.svg +1 -0
- package/dist/assets/icons/svg/ethernet-bold.svg +1 -0
- package/dist/assets/icons/svg/ethernet-filled.svg +1 -0
- package/dist/assets/icons/svg/ethernet-light.svg +1 -0
- package/dist/assets/icons/svg/ethernet-regular.svg +1 -0
- package/dist/assets/icons/svg/exchange-bold.svg +1 -0
- package/dist/assets/icons/svg/exchange-light.svg +1 -0
- package/dist/assets/icons/svg/exchange-regular.svg +1 -0
- package/dist/assets/icons/svg/exclamation-bold.svg +1 -0
- package/dist/assets/icons/svg/exclamation-light.svg +1 -0
- package/dist/assets/icons/svg/exclamation-regular.svg +1 -0
- package/dist/assets/icons/svg/exclude-bold.svg +1 -0
- package/dist/assets/icons/svg/exclude-light.svg +1 -0
- package/dist/assets/icons/svg/exclude-regular.svg +1 -0
- package/dist/assets/icons/svg/existing-target-group-bold.svg +1 -0
- package/dist/assets/icons/svg/existing-target-group-light.svg +1 -0
- package/dist/assets/icons/svg/existing-target-group-regular.svg +1 -0
- package/dist/assets/icons/svg/exit-room-bold.svg +1 -0
- package/dist/assets/icons/svg/exit-room-light.svg +1 -0
- package/dist/assets/icons/svg/exit-room-regular.svg +1 -0
- package/dist/assets/icons/svg/explore-bold.svg +1 -0
- package/dist/assets/icons/svg/explore-light.svg +1 -0
- package/dist/assets/icons/svg/explore-regular.svg +1 -0
- package/dist/assets/icons/svg/export-bold.svg +1 -0
- package/dist/assets/icons/svg/export-light.svg +1 -0
- package/dist/assets/icons/svg/export-regular.svg +1 -0
- package/dist/assets/icons/svg/extension-mobility-bold.svg +1 -0
- package/dist/assets/icons/svg/extension-mobility-light.svg +1 -0
- package/dist/assets/icons/svg/extension-mobility-regular.svg +1 -0
- package/dist/assets/icons/svg/external-message-bold.svg +1 -0
- package/dist/assets/icons/svg/external-message-light.svg +1 -0
- package/dist/assets/icons/svg/external-message-regular.svg +1 -0
- package/dist/assets/icons/svg/external-user-bold.svg +1 -0
- package/dist/assets/icons/svg/external-user-light.svg +1 -0
- package/dist/assets/icons/svg/external-user-regular.svg +1 -0
- package/dist/assets/icons/svg/family-font-bold.svg +1 -0
- package/dist/assets/icons/svg/family-font-light.svg +1 -0
- package/dist/assets/icons/svg/family-font-regular.svg +1 -0
- package/dist/assets/icons/svg/fast-forward-bold.svg +1 -0
- package/dist/assets/icons/svg/fast-forward-light.svg +1 -0
- package/dist/assets/icons/svg/fast-forward-regular.svg +1 -0
- package/dist/assets/icons/svg/favorite-bold.svg +1 -0
- package/dist/assets/icons/svg/favorite-filled.svg +1 -0
- package/dist/assets/icons/svg/favorite-light.svg +1 -0
- package/dist/assets/icons/svg/favorite-regular.svg +1 -0
- package/dist/assets/icons/svg/favorites-bold.svg +1 -0
- package/dist/assets/icons/svg/favorites-filled.svg +1 -0
- package/dist/assets/icons/svg/favorites-light.svg +1 -0
- package/dist/assets/icons/svg/favorites-regular.svg +1 -0
- package/dist/assets/icons/svg/fbw-bold.svg +1 -0
- package/dist/assets/icons/svg/fbw-filled.svg +1 -0
- package/dist/assets/icons/svg/fbw-light.svg +1 -0
- package/dist/assets/icons/svg/fbw-regular.svg +1 -0
- package/dist/assets/icons/svg/ffw-bold.svg +1 -0
- package/dist/assets/icons/svg/ffw-filled.svg +1 -0
- package/dist/assets/icons/svg/ffw-light.svg +1 -0
- package/dist/assets/icons/svg/ffw-regular.svg +1 -0
- package/dist/assets/icons/svg/file-analysis-bold.svg +1 -0
- package/dist/assets/icons/svg/file-analysis-filled.svg +1 -0
- package/dist/assets/icons/svg/file-analysis-light.svg +1 -0
- package/dist/assets/icons/svg/file-analysis-regular.svg +1 -0
- package/dist/assets/icons/svg/file-annotation-bold.svg +1 -0
- package/dist/assets/icons/svg/file-annotation-filled.svg +1 -0
- package/dist/assets/icons/svg/file-annotation-light.svg +1 -0
- package/dist/assets/icons/svg/file-annotation-regular.svg +1 -0
- package/dist/assets/icons/svg/file-audio-bold.svg +1 -0
- package/dist/assets/icons/svg/file-audio-filled.svg +1 -0
- package/dist/assets/icons/svg/file-audio-light.svg +1 -0
- package/dist/assets/icons/svg/file-audio-regular.svg +1 -0
- package/dist/assets/icons/svg/file-bug-bold.svg +1 -0
- package/dist/assets/icons/svg/file-bug-filled.svg +1 -0
- package/dist/assets/icons/svg/file-bug-light.svg +1 -0
- package/dist/assets/icons/svg/file-bug-regular.svg +1 -0
- package/dist/assets/icons/svg/file-code-bold.svg +1 -0
- package/dist/assets/icons/svg/file-code-filled.svg +1 -0
- package/dist/assets/icons/svg/file-code-light.svg +1 -0
- package/dist/assets/icons/svg/file-code-regular.svg +1 -0
- package/dist/assets/icons/svg/file-dashboard-bold.svg +1 -0
- package/dist/assets/icons/svg/file-dashboard-filled.svg +1 -0
- package/dist/assets/icons/svg/file-dashboard-light.svg +1 -0
- package/dist/assets/icons/svg/file-dashboard-regular.svg +1 -0
- package/dist/assets/icons/svg/file-excel-bold.svg +1 -0
- package/dist/assets/icons/svg/file-excel-filled.svg +1 -0
- package/dist/assets/icons/svg/file-excel-light.svg +1 -0
- package/dist/assets/icons/svg/file-excel-regular.svg +1 -0
- package/dist/assets/icons/svg/file-graph-bold.svg +1 -0
- package/dist/assets/icons/svg/file-graph-filled.svg +1 -0
- package/dist/assets/icons/svg/file-graph-light.svg +1 -0
- package/dist/assets/icons/svg/file-graph-regular.svg +1 -0
- package/dist/assets/icons/svg/file-image-bold.svg +1 -0
- package/dist/assets/icons/svg/file-image-filled.svg +1 -0
- package/dist/assets/icons/svg/file-image-light.svg +1 -0
- package/dist/assets/icons/svg/file-image-none-bold.svg +1 -0
- package/dist/assets/icons/svg/file-image-none-light.svg +1 -0
- package/dist/assets/icons/svg/file-image-none-regular.svg +1 -0
- package/dist/assets/icons/svg/file-image-regular.svg +1 -0
- package/dist/assets/icons/svg/file-js-bold.svg +1 -0
- package/dist/assets/icons/svg/file-js-filled.svg +1 -0
- package/dist/assets/icons/svg/file-js-light.svg +1 -0
- package/dist/assets/icons/svg/file-js-regular.svg +1 -0
- package/dist/assets/icons/svg/file-keynote-bold.svg +1 -0
- package/dist/assets/icons/svg/file-keynote-filled.svg +1 -0
- package/dist/assets/icons/svg/file-keynote-light.svg +1 -0
- package/dist/assets/icons/svg/file-keynote-regular.svg +1 -0
- package/dist/assets/icons/svg/file-locked-bold.svg +1 -0
- package/dist/assets/icons/svg/file-locked-filled.svg +1 -0
- package/dist/assets/icons/svg/file-locked-light.svg +1 -0
- package/dist/assets/icons/svg/file-locked-regular.svg +1 -0
- package/dist/assets/icons/svg/file-missing-bold.svg +1 -0
- package/dist/assets/icons/svg/file-missing-filled.svg +1 -0
- package/dist/assets/icons/svg/file-missing-light.svg +1 -0
- package/dist/assets/icons/svg/file-missing-regular.svg +1 -0
- package/dist/assets/icons/svg/file-music-bold.svg +1 -0
- package/dist/assets/icons/svg/file-music-filled.svg +1 -0
- package/dist/assets/icons/svg/file-music-light.svg +1 -0
- package/dist/assets/icons/svg/file-music-regular.svg +1 -0
- package/dist/assets/icons/svg/file-onenote-bold.svg +1 -0
- package/dist/assets/icons/svg/file-onenote-filled.svg +1 -0
- package/dist/assets/icons/svg/file-onenote-light.svg +1 -0
- package/dist/assets/icons/svg/file-onenote-regular.svg +1 -0
- package/dist/assets/icons/svg/file-pdf-bold.svg +1 -0
- package/dist/assets/icons/svg/file-pdf-filled.svg +1 -0
- package/dist/assets/icons/svg/file-pdf-light.svg +1 -0
- package/dist/assets/icons/svg/file-pdf-regular.svg +1 -0
- package/dist/assets/icons/svg/file-powerpoint-bold.svg +1 -0
- package/dist/assets/icons/svg/file-powerpoint-filled.svg +1 -0
- package/dist/assets/icons/svg/file-powerpoint-light.svg +1 -0
- package/dist/assets/icons/svg/file-powerpoint-regular.svg +1 -0
- package/dist/assets/icons/svg/file-spreadsheet-bold.svg +1 -0
- package/dist/assets/icons/svg/file-spreadsheet-filled.svg +1 -0
- package/dist/assets/icons/svg/file-spreadsheet-light.svg +1 -0
- package/dist/assets/icons/svg/file-spreadsheet-regular.svg +1 -0
- package/dist/assets/icons/svg/file-text-bold.svg +1 -0
- package/dist/assets/icons/svg/file-text-filled.svg +1 -0
- package/dist/assets/icons/svg/file-text-light.svg +1 -0
- package/dist/assets/icons/svg/file-text-regular.svg +1 -0
- package/dist/assets/icons/svg/file-three-d-bold.svg +1 -0
- package/dist/assets/icons/svg/file-three-d-filled.svg +1 -0
- package/dist/assets/icons/svg/file-three-d-light.svg +1 -0
- package/dist/assets/icons/svg/file-three-d-regular.svg +1 -0
- package/dist/assets/icons/svg/file-vector-bold.svg +1 -0
- package/dist/assets/icons/svg/file-vector-filled.svg +1 -0
- package/dist/assets/icons/svg/file-vector-light.svg +1 -0
- package/dist/assets/icons/svg/file-vector-regular.svg +1 -0
- package/dist/assets/icons/svg/file-video-bold.svg +1 -0
- package/dist/assets/icons/svg/file-video-filled.svg +1 -0
- package/dist/assets/icons/svg/file-video-light.svg +1 -0
- package/dist/assets/icons/svg/file-video-regular.svg +1 -0
- package/dist/assets/icons/svg/file-word-bold.svg +1 -0
- package/dist/assets/icons/svg/file-word-filled.svg +1 -0
- package/dist/assets/icons/svg/file-word-light.svg +1 -0
- package/dist/assets/icons/svg/file-word-regular.svg +1 -0
- package/dist/assets/icons/svg/file-xml-bold.svg +1 -0
- package/dist/assets/icons/svg/file-xml-filled.svg +1 -0
- package/dist/assets/icons/svg/file-xml-light.svg +1 -0
- package/dist/assets/icons/svg/file-xml-regular.svg +1 -0
- package/dist/assets/icons/svg/file-zip-bold.svg +1 -0
- package/dist/assets/icons/svg/file-zip-filled.svg +1 -0
- package/dist/assets/icons/svg/file-zip-light.svg +1 -0
- package/dist/assets/icons/svg/file-zip-regular.svg +1 -0
- package/dist/assets/icons/svg/files-bold.svg +1 -0
- package/dist/assets/icons/svg/files-filled.svg +1 -0
- package/dist/assets/icons/svg/files-light.svg +1 -0
- package/dist/assets/icons/svg/files-regular.svg +1 -0
- package/dist/assets/icons/svg/fill-colour-bold.svg +1 -0
- package/dist/assets/icons/svg/fill-colour-filled.svg +1 -0
- package/dist/assets/icons/svg/fill-colour-light.svg +1 -0
- package/dist/assets/icons/svg/fill-colour-regular.svg +1 -0
- package/dist/assets/icons/svg/filter-bold.svg +1 -0
- package/dist/assets/icons/svg/filter-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/filter-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/filter-circle-light.svg +1 -0
- package/dist/assets/icons/svg/filter-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/filter-light.svg +1 -0
- package/dist/assets/icons/svg/filter-regular.svg +1 -0
- package/dist/assets/icons/svg/fit-to-width-bold.svg +1 -0
- package/dist/assets/icons/svg/fit-to-width-light.svg +1 -0
- package/dist/assets/icons/svg/fit-to-width-regular.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-expand-bold.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-expand-light.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-expand-regular.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-shrink-bold.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-shrink-light.svg +1 -0
- package/dist/assets/icons/svg/fit-to-window-shrink-regular.svg +1 -0
- package/dist/assets/icons/svg/flag-bold.svg +1 -0
- package/dist/assets/icons/svg/flag-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/flag-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/flag-circle-light.svg +1 -0
- package/dist/assets/icons/svg/flag-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/flag-filled.svg +1 -0
- package/dist/assets/icons/svg/flag-light.svg +1 -0
- package/dist/assets/icons/svg/flag-regular.svg +1 -0
- package/dist/assets/icons/svg/folder-bold.svg +1 -0
- package/dist/assets/icons/svg/folder-edit-bold.svg +1 -0
- package/dist/assets/icons/svg/folder-edit-light.svg +1 -0
- package/dist/assets/icons/svg/folder-edit-regular.svg +1 -0
- package/dist/assets/icons/svg/folder-light.svg +1 -0
- package/dist/assets/icons/svg/folder-lock-bold.svg +1 -0
- package/dist/assets/icons/svg/folder-lock-light.svg +1 -0
- package/dist/assets/icons/svg/folder-lock-regular.svg +1 -0
- package/dist/assets/icons/svg/folder-regular.svg +1 -0
- package/dist/assets/icons/svg/folder-view-bold.svg +1 -0
- package/dist/assets/icons/svg/folder-view-light.svg +1 -0
- package/dist/assets/icons/svg/folder-view-regular.svg +1 -0
- package/dist/assets/icons/svg/follow-up-bold.svg +1 -0
- package/dist/assets/icons/svg/follow-up-light.svg +1 -0
- package/dist/assets/icons/svg/follow-up-regular.svg +1 -0
- package/dist/assets/icons/svg/food-bold.svg +1 -0
- package/dist/assets/icons/svg/food-filled.svg +1 -0
- package/dist/assets/icons/svg/food-light.svg +1 -0
- package/dist/assets/icons/svg/food-regular.svg +1 -0
- package/dist/assets/icons/svg/format-bold.svg +1 -0
- package/dist/assets/icons/svg/format-default-app-bold.svg +1 -0
- package/dist/assets/icons/svg/format-default-app-filled.svg +1 -0
- package/dist/assets/icons/svg/format-default-app-light.svg +1 -0
- package/dist/assets/icons/svg/format-default-app-regular.svg +1 -0
- package/dist/assets/icons/svg/format-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-bar-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-bar-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-bar-regular.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-down-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-down-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-down-regular.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-left-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-left-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-left-regular.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-right-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-right-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-right-regular.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-down-bold.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-down-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-down-regular.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-light.svg +1 -0
- package/dist/assets/icons/svg/format-panel-control-up-regular.svg +1 -0
- package/dist/assets/icons/svg/format-regular.svg +1 -0
- package/dist/assets/icons/svg/format-view-mixed-bold.svg +1 -0
- package/dist/assets/icons/svg/format-view-mixed-light.svg +1 -0
- package/dist/assets/icons/svg/format-view-mixed-regular.svg +1 -0
- package/dist/assets/icons/svg/forward-message-bold.svg +1 -0
- package/dist/assets/icons/svg/forward-message-filled.svg +1 -0
- package/dist/assets/icons/svg/forward-message-light.svg +1 -0
- package/dist/assets/icons/svg/forward-message-regular.svg +1 -0
- package/dist/assets/icons/svg/forward-ten-sec-bold.svg +1 -0
- package/dist/assets/icons/svg/forward-ten-sec-light.svg +1 -0
- package/dist/assets/icons/svg/forward-ten-sec-regular.svg +1 -0
- package/dist/assets/icons/svg/four-column-bold.svg +1 -0
- package/dist/assets/icons/svg/four-column-light.svg +1 -0
- package/dist/assets/icons/svg/four-column-regular.svg +1 -0
- package/dist/assets/icons/svg/four-way-navigation-bold.svg +1 -0
- package/dist/assets/icons/svg/four-way-navigation-light.svg +1 -0
- package/dist/assets/icons/svg/four-way-navigation-regular.svg +1 -0
- package/dist/assets/icons/svg/frequency-capping-bold.svg +1 -0
- package/dist/assets/icons/svg/frequency-capping-light.svg +1 -0
- package/dist/assets/icons/svg/frequency-capping-regular.svg +1 -0
- package/dist/assets/icons/svg/fulfillment-bold.svg +1 -0
- package/dist/assets/icons/svg/fulfillment-light.svg +1 -0
- package/dist/assets/icons/svg/fulfillment-regular.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-bold.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-exit-bold.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-exit-light.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-exit-regular.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-light.svg +1 -0
- package/dist/assets/icons/svg/fullscreen-regular.svg +1 -0
- package/dist/assets/icons/svg/generic-device-video-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/generic-device-video-bold.svg +1 -0
- package/dist/assets/icons/svg/generic-device-video-filled.svg +1 -0
- package/dist/assets/icons/svg/generic-device-video-light.svg +1 -0
- package/dist/assets/icons/svg/generic-device-video-regular.svg +1 -0
- package/dist/assets/icons/svg/gif-bold.svg +1 -0
- package/dist/assets/icons/svg/gif-filled.svg +1 -0
- package/dist/assets/icons/svg/gif-horizontal-bold.svg +1 -0
- package/dist/assets/icons/svg/gif-horizontal-filled.svg +1 -0
- package/dist/assets/icons/svg/gif-horizontal-light.svg +1 -0
- package/dist/assets/icons/svg/gif-horizontal-regular.svg +1 -0
- package/dist/assets/icons/svg/gif-light.svg +1 -0
- package/dist/assets/icons/svg/gif-regular.svg +1 -0
- package/dist/assets/icons/svg/gift-bold.svg +1 -0
- package/dist/assets/icons/svg/gift-filled.svg +1 -0
- package/dist/assets/icons/svg/gift-light.svg +1 -0
- package/dist/assets/icons/svg/gift-regular.svg +1 -0
- package/dist/assets/icons/svg/green-leaf-bold.svg +1 -0
- package/dist/assets/icons/svg/green-leaf-filled.svg +1 -0
- package/dist/assets/icons/svg/green-leaf-light.svg +1 -0
- package/dist/assets/icons/svg/green-leaf-regular.svg +1 -0
- package/dist/assets/icons/svg/green-room-bold.svg +1 -0
- package/dist/assets/icons/svg/green-room-filled.svg +1 -0
- package/dist/assets/icons/svg/green-room-light.svg +1 -0
- package/dist/assets/icons/svg/green-room-regular.svg +1 -0
- package/dist/assets/icons/svg/guest-issuer-bold.svg +1 -0
- package/dist/assets/icons/svg/guest-issuer-light.svg +1 -0
- package/dist/assets/icons/svg/guest-issuer-regular.svg +1 -0
- package/dist/assets/icons/svg/guide-bold.svg +1 -0
- package/dist/assets/icons/svg/guide-light.svg +1 -0
- package/dist/assets/icons/svg/guide-regular.svg +1 -0
- package/dist/assets/icons/svg/hand-pointer-bold.svg +1 -0
- package/dist/assets/icons/svg/hand-pointer-light.svg +1 -0
- package/dist/assets/icons/svg/hand-pointer-regular.svg +1 -0
- package/dist/assets/icons/svg/handler-bold.svg +1 -0
- package/dist/assets/icons/svg/handler-horizontal-bold.svg +1 -0
- package/dist/assets/icons/svg/handler-horizontal-light.svg +1 -0
- package/dist/assets/icons/svg/handler-horizontal-regular.svg +1 -0
- package/dist/assets/icons/svg/handler-light.svg +1 -0
- package/dist/assets/icons/svg/handler-regular.svg +1 -0
- package/dist/assets/icons/svg/handset-alert-bold.svg +1 -0
- package/dist/assets/icons/svg/handset-alert-filled.svg +1 -0
- package/dist/assets/icons/svg/handset-alert-light.svg +1 -0
- package/dist/assets/icons/svg/handset-alert-regular.svg +1 -0
- package/dist/assets/icons/svg/handset-bold.svg +1 -0
- package/dist/assets/icons/svg/handset-down-slash-bold.svg +1 -0
- package/dist/assets/icons/svg/handset-down-slash-filled.svg +1 -0
- package/dist/assets/icons/svg/handset-down-slash-light.svg +1 -0
- package/dist/assets/icons/svg/handset-down-slash-regular.svg +1 -0
- package/dist/assets/icons/svg/handset-filled.svg +1 -0
- package/dist/assets/icons/svg/handset-light.svg +1 -0
- package/dist/assets/icons/svg/handset-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/handset-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/handset-muted-light.svg +1 -0
- package/dist/assets/icons/svg/handset-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/handset-regular.svg +1 -0
- package/dist/assets/icons/svg/handsets-arrow-left-bold.svg +1 -0
- package/dist/assets/icons/svg/handsets-arrow-left-light.svg +1 -0
- package/dist/assets/icons/svg/handsets-arrow-left-regular.svg +1 -0
- package/dist/assets/icons/svg/handshake-bold.svg +1 -0
- package/dist/assets/icons/svg/handshake-light.svg +1 -0
- package/dist/assets/icons/svg/handshake-regular.svg +1 -0
- package/dist/assets/icons/svg/heading-one-bold.svg +1 -0
- package/dist/assets/icons/svg/heading-one-light.svg +1 -0
- package/dist/assets/icons/svg/heading-one-regular.svg +1 -0
- package/dist/assets/icons/svg/heading-three-bold.svg +1 -0
- package/dist/assets/icons/svg/heading-three-light.svg +1 -0
- package/dist/assets/icons/svg/heading-three-regular.svg +1 -0
- package/dist/assets/icons/svg/heading-two-bold.svg +1 -0
- package/dist/assets/icons/svg/heading-two-light.svg +1 -0
- package/dist/assets/icons/svg/heading-two-regular.svg +1 -0
- package/dist/assets/icons/svg/headphones-bold.svg +1 -0
- package/dist/assets/icons/svg/headphones-filled.svg +1 -0
- package/dist/assets/icons/svg/headphones-light.svg +1 -0
- package/dist/assets/icons/svg/headphones-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/headphones-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/headphones-muted-light.svg +1 -0
- package/dist/assets/icons/svg/headphones-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/headphones-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-alert-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-alert-light.svg +1 -0
- package/dist/assets/icons/svg/headset-alert-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-arrow-down-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-arrow-down-filled.svg +1 -0
- package/dist/assets/icons/svg/headset-arrow-down-light.svg +1 -0
- package/dist/assets/icons/svg/headset-arrow-down-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-bluetooth-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-bluetooth-light.svg +1 -0
- package/dist/assets/icons/svg/headset-bluetooth-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-filled.svg +1 -0
- package/dist/assets/icons/svg/headset-light.svg +1 -0
- package/dist/assets/icons/svg/headset-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-muted-light.svg +1 -0
- package/dist/assets/icons/svg/headset-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-private-bold.svg +1 -0
- package/dist/assets/icons/svg/headset-private-light.svg +1 -0
- package/dist/assets/icons/svg/headset-private-regular.svg +1 -0
- package/dist/assets/icons/svg/headset-regular.svg +1 -0
- package/dist/assets/icons/svg/hearing-bold.svg +1 -0
- package/dist/assets/icons/svg/hearing-impaired-bold.svg +1 -0
- package/dist/assets/icons/svg/hearing-impaired-light.svg +1 -0
- package/dist/assets/icons/svg/hearing-impaired-regular.svg +1 -0
- package/dist/assets/icons/svg/hearing-light.svg +1 -0
- package/dist/assets/icons/svg/hearing-regular.svg +1 -0
- package/dist/assets/icons/svg/help-bold.svg +1 -0
- package/dist/assets/icons/svg/help-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/help-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/help-circle-light.svg +1 -0
- package/dist/assets/icons/svg/help-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/help-light.svg +1 -0
- package/dist/assets/icons/svg/help-regular.svg +1 -0
- package/dist/assets/icons/svg/helpdesk-bold.svg +1 -0
- package/dist/assets/icons/svg/helpdesk-filled.svg +1 -0
- package/dist/assets/icons/svg/helpdesk-light.svg +1 -0
- package/dist/assets/icons/svg/helpdesk-regular.svg +1 -0
- package/dist/assets/icons/svg/hide-bold.svg +1 -0
- package/dist/assets/icons/svg/hide-filled.svg +1 -0
- package/dist/assets/icons/svg/hide-light.svg +1 -0
- package/dist/assets/icons/svg/hide-regular.svg +1 -0
- package/dist/assets/icons/svg/home-bold.svg +1 -0
- package/dist/assets/icons/svg/home-filled.svg +1 -0
- package/dist/assets/icons/svg/home-light.svg +1 -0
- package/dist/assets/icons/svg/home-regular.svg +1 -0
- package/dist/assets/icons/svg/horizontal-line-bold.svg +1 -0
- package/dist/assets/icons/svg/horizontal-line-light.svg +1 -0
- package/dist/assets/icons/svg/horizontal-line-regular.svg +1 -0
- package/dist/assets/icons/svg/hoteling-bold.svg +1 -0
- package/dist/assets/icons/svg/hoteling-filled.svg +1 -0
- package/dist/assets/icons/svg/hoteling-light.svg +1 -0
- package/dist/assets/icons/svg/hoteling-regular.svg +1 -0
- package/dist/assets/icons/svg/humidity-bold.svg +1 -0
- package/dist/assets/icons/svg/humidity-light.svg +1 -0
- package/dist/assets/icons/svg/humidity-regular.svg +1 -0
- package/dist/assets/icons/svg/hunt-group-bold.svg +1 -0
- package/dist/assets/icons/svg/hunt-group-filled.svg +1 -0
- package/dist/assets/icons/svg/hunt-group-light.svg +1 -0
- package/dist/assets/icons/svg/hunt-group-regular.svg +1 -0
- package/dist/assets/icons/svg/idle-bold.svg +1 -0
- package/dist/assets/icons/svg/idle-filled.svg +1 -0
- package/dist/assets/icons/svg/idle-light.svg +1 -0
- package/dist/assets/icons/svg/idle-regular.svg +1 -0
- package/dist/assets/icons/svg/immediate-bold.svg +1 -0
- package/dist/assets/icons/svg/immediate-filled.svg +1 -0
- package/dist/assets/icons/svg/immediate-light.svg +1 -0
- package/dist/assets/icons/svg/immediate-regular.svg +1 -0
- package/dist/assets/icons/svg/import-bold.svg +1 -0
- package/dist/assets/icons/svg/import-light.svg +1 -0
- package/dist/assets/icons/svg/import-regular.svg +1 -0
- package/dist/assets/icons/svg/in-common-bold.svg +1 -0
- package/dist/assets/icons/svg/in-common-light.svg +1 -0
- package/dist/assets/icons/svg/in-common-regular.svg +1 -0
- package/dist/assets/icons/svg/inbox-bold.svg +1 -0
- package/dist/assets/icons/svg/inbox-filled.svg +1 -0
- package/dist/assets/icons/svg/inbox-light.svg +1 -0
- package/dist/assets/icons/svg/inbox-regular.svg +1 -0
- package/dist/assets/icons/svg/include-bold.svg +1 -0
- package/dist/assets/icons/svg/include-light.svg +1 -0
- package/dist/assets/icons/svg/include-regular.svg +1 -0
- package/dist/assets/icons/svg/incognito-bold.svg +1 -0
- package/dist/assets/icons/svg/incognito-filled.svg +1 -0
- package/dist/assets/icons/svg/incognito-light.svg +1 -0
- package/dist/assets/icons/svg/incognito-regular.svg +1 -0
- package/dist/assets/icons/svg/incoming-call-legacy-bold.svg +1 -0
- package/dist/assets/icons/svg/incoming-call-legacy-filled.svg +1 -0
- package/dist/assets/icons/svg/incoming-call-legacy-light.svg +1 -0
- package/dist/assets/icons/svg/incoming-call-legacy-regular.svg +1 -0
- package/dist/assets/icons/svg/indent-decrease-bold.svg +1 -0
- package/dist/assets/icons/svg/indent-decrease-light.svg +1 -0
- package/dist/assets/icons/svg/indent-decrease-regular.svg +1 -0
- package/dist/assets/icons/svg/indent-increase-bold.svg +1 -0
- package/dist/assets/icons/svg/indent-increase-light.svg +1 -0
- package/dist/assets/icons/svg/indent-increase-regular.svg +1 -0
- package/dist/assets/icons/svg/info-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/info-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/info-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/info-circle-light.svg +1 -0
- package/dist/assets/icons/svg/info-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/info-circle-two-bold.svg +1 -0
- package/dist/assets/icons/svg/info-circle-two-filled.svg +1 -0
- package/dist/assets/icons/svg/info-circle-two-light.svg +1 -0
- package/dist/assets/icons/svg/info-circle-two-regular.svg +1 -0
- package/dist/assets/icons/svg/input-bold.svg +1 -0
- package/dist/assets/icons/svg/input-disconnected-bold.svg +1 -0
- package/dist/assets/icons/svg/input-disconnected-light.svg +1 -0
- package/dist/assets/icons/svg/input-disconnected-regular.svg +1 -0
- package/dist/assets/icons/svg/input-light.svg +1 -0
- package/dist/assets/icons/svg/input-regular.svg +1 -0
- package/dist/assets/icons/svg/instant-schedule-bold.svg +1 -0
- package/dist/assets/icons/svg/instant-schedule-filled.svg +1 -0
- package/dist/assets/icons/svg/instant-schedule-light.svg +1 -0
- package/dist/assets/icons/svg/instant-schedule-regular.svg +1 -0
- package/dist/assets/icons/svg/integer-bold.svg +1 -0
- package/dist/assets/icons/svg/integer-filled.svg +1 -0
- package/dist/assets/icons/svg/integer-light.svg +1 -0
- package/dist/assets/icons/svg/integer-regular.svg +1 -0
- package/dist/assets/icons/svg/integrations-bold.svg +1 -0
- package/dist/assets/icons/svg/integrations-light.svg +1 -0
- package/dist/assets/icons/svg/integrations-regular.svg +1 -0
- package/dist/assets/icons/svg/intelligent-routing-bold.svg +1 -0
- package/dist/assets/icons/svg/intelligent-routing-light.svg +1 -0
- package/dist/assets/icons/svg/intelligent-routing-regular.svg +1 -0
- package/dist/assets/icons/svg/interaction-bold.svg +1 -0
- package/dist/assets/icons/svg/interaction-filled.svg +1 -0
- package/dist/assets/icons/svg/interaction-light.svg +1 -0
- package/dist/assets/icons/svg/interaction-regular.svg +1 -0
- package/dist/assets/icons/svg/invited-user-bold.svg +1 -0
- package/dist/assets/icons/svg/invited-user-light.svg +1 -0
- package/dist/assets/icons/svg/invited-user-regular.svg +1 -0
- package/dist/assets/icons/svg/italic-bold.svg +1 -0
- package/dist/assets/icons/svg/italic-filled.svg +1 -0
- package/dist/assets/icons/svg/italic-light.svg +1 -0
- package/dist/assets/icons/svg/italic-regular.svg +1 -0
- package/dist/assets/icons/svg/join-audio-bold.svg +1 -0
- package/dist/assets/icons/svg/join-audio-filled.svg +1 -0
- package/dist/assets/icons/svg/join-audio-light.svg +1 -0
- package/dist/assets/icons/svg/join-audio-regular.svg +1 -0
- package/dist/assets/icons/svg/keep-in-touch-bold.svg +1 -0
- package/dist/assets/icons/svg/keep-in-touch-filled.svg +1 -0
- package/dist/assets/icons/svg/keep-in-touch-light.svg +1 -0
- package/dist/assets/icons/svg/keep-in-touch-regular.svg +1 -0
- package/dist/assets/icons/svg/keyboard-bold.svg +1 -0
- package/dist/assets/icons/svg/keyboard-close-bold.svg +1 -0
- package/dist/assets/icons/svg/keyboard-close-light.svg +1 -0
- package/dist/assets/icons/svg/keyboard-close-regular.svg +1 -0
- package/dist/assets/icons/svg/keyboard-light.svg +1 -0
- package/dist/assets/icons/svg/keyboard-regular.svg +1 -0
- package/dist/assets/icons/svg/language-bold.svg +1 -0
- package/dist/assets/icons/svg/language-filled.svg +1 -0
- package/dist/assets/icons/svg/language-light.svg +1 -0
- package/dist/assets/icons/svg/language-regular.svg +1 -0
- package/dist/assets/icons/svg/laptop-bold.svg +1 -0
- package/dist/assets/icons/svg/laptop-disconnected-bold.svg +1 -0
- package/dist/assets/icons/svg/laptop-disconnected-light.svg +1 -0
- package/dist/assets/icons/svg/laptop-disconnected-regular.svg +1 -0
- package/dist/assets/icons/svg/laptop-filled.svg +1 -0
- package/dist/assets/icons/svg/laptop-light.svg +1 -0
- package/dist/assets/icons/svg/laptop-regular.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-bold.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-black-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-blue-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-green-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-purple-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-red-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-white-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-cursor-yellow-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-filled.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-light.svg +1 -0
- package/dist/assets/icons/svg/laser-pointer-regular.svg +1 -0
- package/dist/assets/icons/svg/launch-bold.svg +1 -0
- package/dist/assets/icons/svg/launch-light.svg +1 -0
- package/dist/assets/icons/svg/launch-regular.svg +1 -0
- package/dist/assets/icons/svg/layout-side-by-side-vertical-bold.svg +1 -0
- package/dist/assets/icons/svg/layout-side-by-side-vertical-filled.svg +1 -0
- package/dist/assets/icons/svg/layout-side-by-side-vertical-light.svg +1 -0
- package/dist/assets/icons/svg/layout-side-by-side-vertical-regular.svg +1 -0
- package/dist/assets/icons/svg/leave-breakout-session-bold.svg +1 -0
- package/dist/assets/icons/svg/leave-breakout-session-light.svg +1 -0
- package/dist/assets/icons/svg/leave-breakout-session-regular.svg +1 -0
- package/dist/assets/icons/svg/leave-device-bold.svg +1 -0
- package/dist/assets/icons/svg/leave-device-light.svg +1 -0
- package/dist/assets/icons/svg/leave-device-regular.svg +1 -0
- package/dist/assets/icons/svg/like-bold.svg +1 -0
- package/dist/assets/icons/svg/like-filled.svg +1 -0
- package/dist/assets/icons/svg/like-light.svg +1 -0
- package/dist/assets/icons/svg/like-regular.svg +1 -0
- package/dist/assets/icons/svg/link-bold.svg +1 -0
- package/dist/assets/icons/svg/link-light.svg +1 -0
- package/dist/assets/icons/svg/link-regular.svg +1 -0
- package/dist/assets/icons/svg/list-bulleted-bold.svg +1 -0
- package/dist/assets/icons/svg/list-bulleted-light.svg +1 -0
- package/dist/assets/icons/svg/list-bulleted-regular.svg +1 -0
- package/dist/assets/icons/svg/list-menu-bold.svg +1 -0
- package/dist/assets/icons/svg/list-menu-light.svg +1 -0
- package/dist/assets/icons/svg/list-menu-regular.svg +1 -0
- package/dist/assets/icons/svg/list-numbered-bold.svg +1 -0
- package/dist/assets/icons/svg/list-numbered-light.svg +1 -0
- package/dist/assets/icons/svg/list-numbered-regular.svg +1 -0
- package/dist/assets/icons/svg/live-bold.svg +1 -0
- package/dist/assets/icons/svg/live-filled.svg +1 -0
- package/dist/assets/icons/svg/live-light.svg +1 -0
- package/dist/assets/icons/svg/live-regular.svg +1 -0
- package/dist/assets/icons/svg/location-bold.svg +1 -0
- package/dist/assets/icons/svg/location-filled.svg +1 -0
- package/dist/assets/icons/svg/location-light.svg +1 -0
- package/dist/assets/icons/svg/location-regular.svg +1 -0
- package/dist/assets/icons/svg/long-text-box-bold.svg +1 -0
- package/dist/assets/icons/svg/long-text-box-light.svg +1 -0
- package/dist/assets/icons/svg/long-text-box-regular.svg +1 -0
- package/dist/assets/icons/svg/lower-hand-bold.svg +1 -0
- package/dist/assets/icons/svg/lower-hand-light.svg +1 -0
- package/dist/assets/icons/svg/lower-hand-regular.svg +1 -0
- package/dist/assets/icons/svg/magic-pen-bold.svg +1 -0
- package/dist/assets/icons/svg/magic-pen-filled.svg +1 -0
- package/dist/assets/icons/svg/magic-pen-light.svg +1 -0
- package/dist/assets/icons/svg/magic-pen-regular.svg +1 -0
- package/dist/assets/icons/svg/mark-as-unread-bold.svg +1 -0
- package/dist/assets/icons/svg/mark-as-unread-light.svg +1 -0
- package/dist/assets/icons/svg/mark-as-unread-regular.svg +1 -0
- package/dist/assets/icons/svg/markdown-bold.svg +1 -0
- package/dist/assets/icons/svg/markdown-filled.svg +1 -0
- package/dist/assets/icons/svg/markdown-light.svg +1 -0
- package/dist/assets/icons/svg/markdown-regular.svg +1 -0
- package/dist/assets/icons/svg/marker-bold.svg +1 -0
- package/dist/assets/icons/svg/marker-filled.svg +1 -0
- package/dist/assets/icons/svg/marker-light.svg +1 -0
- package/dist/assets/icons/svg/marker-regular.svg +1 -0
- package/dist/assets/icons/svg/maximize-bold.svg +1 -0
- package/dist/assets/icons/svg/maximize-light.svg +1 -0
- package/dist/assets/icons/svg/maximize-regular.svg +1 -0
- package/dist/assets/icons/svg/media-player-bold.svg +1 -0
- package/dist/assets/icons/svg/media-player-light.svg +1 -0
- package/dist/assets/icons/svg/media-player-regular.svg +1 -0
- package/dist/assets/icons/svg/media-quality-good-bold.svg +1 -0
- package/dist/assets/icons/svg/media-quality-good-filled.svg +1 -0
- package/dist/assets/icons/svg/media-quality-good-light.svg +1 -0
- package/dist/assets/icons/svg/media-quality-good-regular.svg +1 -0
- package/dist/assets/icons/svg/media-quality-poor-bold.svg +1 -0
- package/dist/assets/icons/svg/media-quality-poor-filled.svg +1 -0
- package/dist/assets/icons/svg/media-quality-poor-light.svg +1 -0
- package/dist/assets/icons/svg/media-quality-poor-regular.svg +1 -0
- package/dist/assets/icons/svg/media-quality-unstable-bold.svg +1 -0
- package/dist/assets/icons/svg/media-quality-unstable-filled.svg +1 -0
- package/dist/assets/icons/svg/media-quality-unstable-light.svg +1 -0
- package/dist/assets/icons/svg/media-quality-unstable-regular.svg +1 -0
- package/dist/assets/icons/svg/meet-bold.svg +1 -0
- package/dist/assets/icons/svg/meet-end-bold.svg +1 -0
- package/dist/assets/icons/svg/meet-end-light.svg +1 -0
- package/dist/assets/icons/svg/meet-end-regular.svg +1 -0
- package/dist/assets/icons/svg/meet-filled.svg +1 -0
- package/dist/assets/icons/svg/meet-light.svg +1 -0
- package/dist/assets/icons/svg/meet-regular.svg +1 -0
- package/dist/assets/icons/svg/meeting-summary-bold.svg +1 -0
- package/dist/assets/icons/svg/meeting-summary-filled.svg +1 -0
- package/dist/assets/icons/svg/meeting-summary-light.svg +1 -0
- package/dist/assets/icons/svg/meeting-summary-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-filled.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-month-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-month-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-month-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-one-day-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-one-day-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-one-day-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-three-day-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-three-day-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-three-day-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-upcoming-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-upcoming-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-focus-upcoming-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-presence-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/meetings-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/meetings-presence-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-filled.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-new-bold.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-new-light.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-new-regular.svg +1 -0
- package/dist/assets/icons/svg/meetings-team-regular.svg +1 -0
- package/dist/assets/icons/svg/mention-bold.svg +1 -0
- package/dist/assets/icons/svg/mention-light.svg +1 -0
- package/dist/assets/icons/svg/mention-regular.svg +1 -0
- package/dist/assets/icons/svg/message-queing-bold.svg +1 -0
- package/dist/assets/icons/svg/message-queing-light.svg +1 -0
- package/dist/assets/icons/svg/message-queing-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-filled.svg +1 -0
- package/dist/assets/icons/svg/microphone-hard-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-hard-muted-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/microphone-hard-muted-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-hard-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-on-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-on-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-on-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-music-mode-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-muted-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/microphone-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/microphone-muted-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-on-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-on-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-on-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-muted-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-on-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-on-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-on-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-all-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-muted-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-on-bold.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-on-light.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-on-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-opt-me-regular.svg +1 -0
- package/dist/assets/icons/svg/microphone-regular.svg +1 -0
- package/dist/assets/icons/svg/mind-map-bold.svg +1 -0
- package/dist/assets/icons/svg/mind-map-light.svg +1 -0
- package/dist/assets/icons/svg/mind-map-regular.svg +1 -0
- package/dist/assets/icons/svg/minimize-bold.svg +1 -0
- package/dist/assets/icons/svg/minimize-light.svg +1 -0
- package/dist/assets/icons/svg/minimize-regular.svg +1 -0
- package/dist/assets/icons/svg/minus-bold.svg +1 -0
- package/dist/assets/icons/svg/minus-light.svg +1 -0
- package/dist/assets/icons/svg/minus-regular.svg +1 -0
- package/dist/assets/icons/svg/mirror-bold.svg +1 -0
- package/dist/assets/icons/svg/mirror-filled.svg +1 -0
- package/dist/assets/icons/svg/mirror-light.svg +1 -0
- package/dist/assets/icons/svg/mirror-regular.svg +1 -0
- package/dist/assets/icons/svg/mms-filled.svg +1 -0
- package/dist/assets/icons/svg/moderator-bold.svg +1 -0
- package/dist/assets/icons/svg/moderator-light.svg +1 -0
- package/dist/assets/icons/svg/moderator-regular.svg +1 -0
- package/dist/assets/icons/svg/monitoring-bold.svg +1 -0
- package/dist/assets/icons/svg/monitoring-light.svg +1 -0
- package/dist/assets/icons/svg/monitoring-regular.svg +1 -0
- package/dist/assets/icons/svg/monthly-recurring-schedule-node-bold.svg +1 -0
- package/dist/assets/icons/svg/monthly-recurring-schedule-node-light.svg +1 -0
- package/dist/assets/icons/svg/monthly-recurring-schedule-node-regular.svg +1 -0
- package/dist/assets/icons/svg/more-adr-bold.svg +1 -0
- package/dist/assets/icons/svg/more-adr-light.svg +1 -0
- package/dist/assets/icons/svg/more-adr-regular.svg +1 -0
- package/dist/assets/icons/svg/more-bold.svg +1 -0
- package/dist/assets/icons/svg/more-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/more-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/more-circle-light.svg +1 -0
- package/dist/assets/icons/svg/more-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/more-light.svg +1 -0
- package/dist/assets/icons/svg/more-regular.svg +1 -0
- package/dist/assets/icons/svg/mouse-cursor-bold.svg +1 -0
- package/dist/assets/icons/svg/mouse-cursor-light.svg +1 -0
- package/dist/assets/icons/svg/mouse-cursor-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-adr-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-adr-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-adr-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-iph-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-iph-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-iph-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-laptop-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-laptop-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-laptop-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-adr-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-adr-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-adr-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-iph-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-iph-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-out-iph-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-tablet-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-tablet-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-in-tablet-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-adr-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-adr-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-adr-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-iph-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-iph-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-iph-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-laptop-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-laptop-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-laptop-regular.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-tablet-bold.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-tablet-light.svg +1 -0
- package/dist/assets/icons/svg/move-call-out-tablet-regular.svg +1 -0
- package/dist/assets/icons/svg/move-to-screen-bold.svg +1 -0
- package/dist/assets/icons/svg/move-to-screen-light.svg +1 -0
- package/dist/assets/icons/svg/move-to-screen-regular.svg +1 -0
- package/dist/assets/icons/svg/multiline-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/multiline-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/multiline-chart-light.svg +1 -0
- package/dist/assets/icons/svg/multiline-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/multimedia-bold.svg +1 -0
- package/dist/assets/icons/svg/multimedia-filled.svg +1 -0
- package/dist/assets/icons/svg/multimedia-light.svg +1 -0
- package/dist/assets/icons/svg/multimedia-regular.svg +1 -0
- package/dist/assets/icons/svg/multipled-devices-bold.svg +1 -0
- package/dist/assets/icons/svg/multipled-devices-light.svg +1 -0
- package/dist/assets/icons/svg/multipled-devices-regular.svg +1 -0
- package/dist/assets/icons/svg/music-mode-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/music-mode-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/music-mode-circle-light.svg +1 -0
- package/dist/assets/icons/svg/music-mode-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/music-note-bold.svg +1 -0
- package/dist/assets/icons/svg/music-note-filled.svg +1 -0
- package/dist/assets/icons/svg/music-note-light.svg +1 -0
- package/dist/assets/icons/svg/music-note-regular.svg +1 -0
- package/dist/assets/icons/svg/mute-on-entry-bold.svg +1 -0
- package/dist/assets/icons/svg/mute-on-entry-filled.svg +1 -0
- package/dist/assets/icons/svg/mute-on-entry-light.svg +1 -0
- package/dist/assets/icons/svg/mute-on-entry-regular.svg +1 -0
- package/dist/assets/icons/svg/new-manager-bold.svg +1 -0
- package/dist/assets/icons/svg/new-manager-light.svg +1 -0
- package/dist/assets/icons/svg/new-manager-regular.svg +1 -0
- package/dist/assets/icons/svg/new-title-bold.svg +1 -0
- package/dist/assets/icons/svg/new-title-light.svg +1 -0
- package/dist/assets/icons/svg/new-title-regular.svg +1 -0
- package/dist/assets/icons/svg/new-voicemail-bold.svg +1 -0
- package/dist/assets/icons/svg/new-voicemail-light.svg +1 -0
- package/dist/assets/icons/svg/new-voicemail-regular.svg +1 -0
- package/dist/assets/icons/svg/new-whiteboard-bold.svg +1 -0
- package/dist/assets/icons/svg/new-whiteboard-light.svg +1 -0
- package/dist/assets/icons/svg/new-whiteboard-regular.svg +1 -0
- package/dist/assets/icons/svg/next-bold.svg +1 -0
- package/dist/assets/icons/svg/next-light.svg +1 -0
- package/dist/assets/icons/svg/next-regular.svg +1 -0
- package/dist/assets/icons/svg/no-devices-bold.svg +1 -0
- package/dist/assets/icons/svg/no-devices-light.svg +1 -0
- package/dist/assets/icons/svg/no-devices-regular.svg +1 -0
- package/dist/assets/icons/svg/noise-detected-canceled-filled.svg +1 -0
- package/dist/assets/icons/svg/noise-detected-filled.svg +1 -0
- package/dist/assets/icons/svg/noise-none-canceled-filled.svg +1 -0
- package/dist/assets/icons/svg/noise-none-filled.svg +1 -0
- package/dist/assets/icons/svg/noise-removal-bold.svg +1 -0
- package/dist/assets/icons/svg/noise-removal-light.svg +1 -0
- package/dist/assets/icons/svg/noise-removal-regular.svg +1 -0
- package/dist/assets/icons/svg/note-bold.svg +1 -0
- package/dist/assets/icons/svg/note-light.svg +1 -0
- package/dist/assets/icons/svg/note-ppt-bold.svg +1 -0
- package/dist/assets/icons/svg/note-ppt-light.svg +1 -0
- package/dist/assets/icons/svg/note-ppt-regular.svg +1 -0
- package/dist/assets/icons/svg/note-regular.svg +1 -0
- package/dist/assets/icons/svg/notes-bold.svg +1 -0
- package/dist/assets/icons/svg/notes-filled.svg +1 -0
- package/dist/assets/icons/svg/notes-light.svg +1 -0
- package/dist/assets/icons/svg/notes-regular.svg +1 -0
- package/dist/assets/icons/svg/number-bold.svg +1 -0
- package/dist/assets/icons/svg/number-light.svg +1 -0
- package/dist/assets/icons/svg/number-regular.svg +1 -0
- package/dist/assets/icons/svg/old-remote-bold.svg +1 -0
- package/dist/assets/icons/svg/old-remote-light.svg +1 -0
- package/dist/assets/icons/svg/old-remote-regular.svg +1 -0
- package/dist/assets/icons/svg/old-touch-bold.svg +1 -0
- package/dist/assets/icons/svg/old-touch-light.svg +1 -0
- package/dist/assets/icons/svg/old-touch-regular.svg +1 -0
- package/dist/assets/icons/svg/one-column-bold.svg +1 -0
- package/dist/assets/icons/svg/one-column-light.svg +1 -0
- package/dist/assets/icons/svg/one-column-regular.svg +1 -0
- package/dist/assets/icons/svg/one-time-bold.svg +1 -0
- package/dist/assets/icons/svg/one-time-light.svg +1 -0
- package/dist/assets/icons/svg/one-time-regular.svg +1 -0
- package/dist/assets/icons/svg/open-in-folder-bold.svg +1 -0
- package/dist/assets/icons/svg/open-in-folder-light.svg +1 -0
- package/dist/assets/icons/svg/open-in-folder-regular.svg +1 -0
- package/dist/assets/icons/svg/open-pages-bold.svg +1 -0
- package/dist/assets/icons/svg/open-pages-light.svg +1 -0
- package/dist/assets/icons/svg/open-pages-regular.svg +1 -0
- package/dist/assets/icons/svg/other-number-bold.svg +1 -0
- package/dist/assets/icons/svg/other-number-filled.svg +1 -0
- package/dist/assets/icons/svg/other-number-light.svg +1 -0
- package/dist/assets/icons/svg/other-number-regular.svg +1 -0
- package/dist/assets/icons/svg/other-number-warning-bold.svg +1 -0
- package/dist/assets/icons/svg/other-number-warning-light.svg +1 -0
- package/dist/assets/icons/svg/other-number-warning-regular.svg +1 -0
- package/dist/assets/icons/svg/outgoing-call-legacy-bold.svg +1 -0
- package/dist/assets/icons/svg/outgoing-call-legacy-filled.svg +1 -0
- package/dist/assets/icons/svg/outgoing-call-legacy-light.svg +1 -0
- package/dist/assets/icons/svg/outgoing-call-legacy-regular.svg +1 -0
- package/dist/assets/icons/svg/overflow-left-bold.svg +1 -0
- package/dist/assets/icons/svg/overflow-left-light.svg +1 -0
- package/dist/assets/icons/svg/overflow-left-regular.svg +1 -0
- package/dist/assets/icons/svg/overflow-right-bold.svg +1 -0
- package/dist/assets/icons/svg/overflow-right-light.svg +1 -0
- package/dist/assets/icons/svg/overflow-right-regular.svg +1 -0
- package/dist/assets/icons/svg/paired-camera-bold.svg +1 -0
- package/dist/assets/icons/svg/paired-camera-light.svg +1 -0
- package/dist/assets/icons/svg/paired-camera-regular.svg +1 -0
- package/dist/assets/icons/svg/paired-device-bold.svg +1 -0
- package/dist/assets/icons/svg/paired-device-light.svg +1 -0
- package/dist/assets/icons/svg/paired-device-regular.svg +1 -0
- package/dist/assets/icons/svg/paired-handset-bold.svg +1 -0
- package/dist/assets/icons/svg/paired-handset-light.svg +1 -0
- package/dist/assets/icons/svg/paired-handset-regular.svg +1 -0
- package/dist/assets/icons/svg/pairing-bold.svg +1 -0
- package/dist/assets/icons/svg/pairing-light.svg +1 -0
- package/dist/assets/icons/svg/pairing-regular.svg +1 -0
- package/dist/assets/icons/svg/parenthesis-bold.svg +1 -0
- package/dist/assets/icons/svg/parenthesis-filled.svg +1 -0
- package/dist/assets/icons/svg/parenthesis-light.svg +1 -0
- package/dist/assets/icons/svg/parenthesis-regular.svg +1 -0
- package/dist/assets/icons/svg/parked-bold.svg +1 -0
- package/dist/assets/icons/svg/parked-filled.svg +1 -0
- package/dist/assets/icons/svg/parked-light.svg +1 -0
- package/dist/assets/icons/svg/parked-regular.svg +1 -0
- package/dist/assets/icons/svg/parse-bold.svg +1 -0
- package/dist/assets/icons/svg/parse-light.svg +1 -0
- package/dist/assets/icons/svg/parse-regular.svg +1 -0
- package/dist/assets/icons/svg/partial-bold.svg +1 -0
- package/dist/assets/icons/svg/partial-light.svg +1 -0
- package/dist/assets/icons/svg/partial-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-add-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-add-light.svg +1 -0
- package/dist/assets/icons/svg/participant-add-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-blocked-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-blocked-light.svg +1 -0
- package/dist/assets/icons/svg/participant-blocked-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-filled.svg +1 -0
- package/dist/assets/icons/svg/participant-light.svg +1 -0
- package/dist/assets/icons/svg/participant-list-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-list-filled.svg +1 -0
- package/dist/assets/icons/svg/participant-list-light.svg +1 -0
- package/dist/assets/icons/svg/participant-list-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-remove-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-remove-light.svg +1 -0
- package/dist/assets/icons/svg/participant-remove-regular.svg +1 -0
- package/dist/assets/icons/svg/participant-unknown-bold.svg +1 -0
- package/dist/assets/icons/svg/participant-unknown-light.svg +1 -0
- package/dist/assets/icons/svg/participant-unknown-regular.svg +1 -0
- package/dist/assets/icons/svg/pass-mouse-bold.svg +1 -0
- package/dist/assets/icons/svg/pass-mouse-light.svg +1 -0
- package/dist/assets/icons/svg/pass-mouse-regular.svg +1 -0
- package/dist/assets/icons/svg/pause-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/pause-bold.svg +1 -0
- package/dist/assets/icons/svg/pause-filled.svg +1 -0
- package/dist/assets/icons/svg/pause-light.svg +1 -0
- package/dist/assets/icons/svg/pause-regular.svg +1 -0
- package/dist/assets/icons/svg/people-add-bold.svg +1 -0
- package/dist/assets/icons/svg/people-add-filled.svg +1 -0
- package/dist/assets/icons/svg/people-add-light.svg +1 -0
- package/dist/assets/icons/svg/people-add-regular.svg +1 -0
- package/dist/assets/icons/svg/people-bold.svg +1 -0
- package/dist/assets/icons/svg/people-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/people-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/people-circle-light.svg +1 -0
- package/dist/assets/icons/svg/people-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/people-filled.svg +1 -0
- package/dist/assets/icons/svg/people-insights-bold.svg +1 -0
- package/dist/assets/icons/svg/people-insights-filled.svg +1 -0
- package/dist/assets/icons/svg/people-insights-light.svg +1 -0
- package/dist/assets/icons/svg/people-insights-regular.svg +1 -0
- package/dist/assets/icons/svg/people-light.svg +1 -0
- package/dist/assets/icons/svg/people-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-alert-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-alert-light.svg +1 -0
- package/dist/assets/icons/svg/phone-alert-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/phone-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-filled.svg +1 -0
- package/dist/assets/icons/svg/phone-light.svg +1 -0
- package/dist/assets/icons/svg/phone-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-muted-light.svg +1 -0
- package/dist/assets/icons/svg/phone-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-private-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-private-light.svg +1 -0
- package/dist/assets/icons/svg/phone-private-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-all-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-all-light.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-all-regular.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-bold.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-light.svg +1 -0
- package/dist/assets/icons/svg/phone-reply-regular.svg +1 -0
- package/dist/assets/icons/svg/picker-bold.svg +1 -0
- package/dist/assets/icons/svg/picker-light.svg +1 -0
- package/dist/assets/icons/svg/picker-regular.svg +1 -0
- package/dist/assets/icons/svg/pie-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/pie-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/pie-chart-light.svg +1 -0
- package/dist/assets/icons/svg/pie-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/pin-bold.svg +1 -0
- package/dist/assets/icons/svg/pin-filled.svg +1 -0
- package/dist/assets/icons/svg/pin-light.svg +1 -0
- package/dist/assets/icons/svg/pin-list-activity-bold.svg +1 -0
- package/dist/assets/icons/svg/pin-list-activity-light.svg +1 -0
- package/dist/assets/icons/svg/pin-list-activity-regular.svg +1 -0
- package/dist/assets/icons/svg/pin-list-bold.svg +1 -0
- package/dist/assets/icons/svg/pin-list-light.svg +1 -0
- package/dist/assets/icons/svg/pin-list-regular.svg +1 -0
- package/dist/assets/icons/svg/pin-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/pin-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/pin-muted-light.svg +1 -0
- package/dist/assets/icons/svg/pin-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/pin-regular.svg +1 -0
- package/dist/assets/icons/svg/pip-bold.svg +1 -0
- package/dist/assets/icons/svg/pip-enter-bold.svg +1 -0
- package/dist/assets/icons/svg/pip-enter-light.svg +1 -0
- package/dist/assets/icons/svg/pip-enter-regular.svg +1 -0
- package/dist/assets/icons/svg/pip-exit-bold.svg +1 -0
- package/dist/assets/icons/svg/pip-exit-light.svg +1 -0
- package/dist/assets/icons/svg/pip-exit-regular.svg +1 -0
- package/dist/assets/icons/svg/pip-filled.svg +1 -0
- package/dist/assets/icons/svg/pip-light.svg +1 -0
- package/dist/assets/icons/svg/pip-regular.svg +1 -0
- package/dist/assets/icons/svg/placeholder-bold.svg +1 -0
- package/dist/assets/icons/svg/placeholder-filled.svg +1 -0
- package/dist/assets/icons/svg/placeholder-light.svg +1 -0
- package/dist/assets/icons/svg/placeholder-regular.svg +1 -0
- package/dist/assets/icons/svg/play-bold.svg +1 -0
- package/dist/assets/icons/svg/play-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/play-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/play-circle-light.svg +1 -0
- package/dist/assets/icons/svg/play-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/play-filled.svg +1 -0
- package/dist/assets/icons/svg/play-light.svg +1 -0
- package/dist/assets/icons/svg/play-regular.svg +1 -0
- package/dist/assets/icons/svg/plug-ac-bold.svg +1 -0
- package/dist/assets/icons/svg/plug-ac-light.svg +1 -0
- package/dist/assets/icons/svg/plug-ac-regular.svg +1 -0
- package/dist/assets/icons/svg/plus-bold.svg +1 -0
- package/dist/assets/icons/svg/plus-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/plus-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/plus-circle-light.svg +1 -0
- package/dist/assets/icons/svg/plus-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/plus-light.svg +1 -0
- package/dist/assets/icons/svg/plus-regular.svg +1 -0
- package/dist/assets/icons/svg/pmr-bold.svg +1 -0
- package/dist/assets/icons/svg/pmr-filled.svg +1 -0
- package/dist/assets/icons/svg/pmr-light.svg +1 -0
- package/dist/assets/icons/svg/pmr-regular.svg +1 -0
- package/dist/assets/icons/svg/poll-bold.svg +1 -0
- package/dist/assets/icons/svg/poll-filled.svg +1 -0
- package/dist/assets/icons/svg/poll-light.svg +1 -0
- package/dist/assets/icons/svg/poll-regular.svg +1 -0
- package/dist/assets/icons/svg/pop-in-bold.svg +1 -0
- package/dist/assets/icons/svg/pop-in-light.svg +1 -0
- package/dist/assets/icons/svg/pop-in-regular.svg +1 -0
- package/dist/assets/icons/svg/pop-out-bold.svg +1 -0
- package/dist/assets/icons/svg/pop-out-light.svg +1 -0
- package/dist/assets/icons/svg/pop-out-regular.svg +1 -0
- package/dist/assets/icons/svg/pop-up-bold.svg +1 -0
- package/dist/assets/icons/svg/pop-up-light.svg +1 -0
- package/dist/assets/icons/svg/pop-up-regular.svg +1 -0
- package/dist/assets/icons/svg/portrait-landscape-bold.svg +1 -0
- package/dist/assets/icons/svg/portrait-landscape-light.svg +1 -0
- package/dist/assets/icons/svg/portrait-landscape-regular.svg +1 -0
- package/dist/assets/icons/svg/potential-fraud-bold.svg +1 -0
- package/dist/assets/icons/svg/potential-fraud-filled.svg +1 -0
- package/dist/assets/icons/svg/potential-fraud-light.svg +1 -0
- package/dist/assets/icons/svg/potential-fraud-regular.svg +1 -0
- package/dist/assets/icons/svg/power-ac-bold.svg +1 -0
- package/dist/assets/icons/svg/power-ac-light.svg +1 -0
- package/dist/assets/icons/svg/power-ac-regular.svg +1 -0
- package/dist/assets/icons/svg/power-bold.svg +1 -0
- package/dist/assets/icons/svg/power-light.svg +1 -0
- package/dist/assets/icons/svg/power-regular.svg +1 -0
- package/dist/assets/icons/svg/pre-header-bold.svg +1 -0
- package/dist/assets/icons/svg/pre-header-light.svg +1 -0
- package/dist/assets/icons/svg/pre-header-regular.svg +1 -0
- package/dist/assets/icons/svg/premium-bold.svg +1 -0
- package/dist/assets/icons/svg/premium-light.svg +1 -0
- package/dist/assets/icons/svg/premium-regular.svg +1 -0
- package/dist/assets/icons/svg/presentation-bold.svg +1 -0
- package/dist/assets/icons/svg/presentation-light.svg +1 -0
- package/dist/assets/icons/svg/presentation-regular.svg +1 -0
- package/dist/assets/icons/svg/prevent-download-bold.svg +1 -0
- package/dist/assets/icons/svg/prevent-download-filled.svg +1 -0
- package/dist/assets/icons/svg/prevent-download-light.svg +1 -0
- package/dist/assets/icons/svg/prevent-download-regular.svg +1 -0
- package/dist/assets/icons/svg/primary-participant-bold.svg +1 -0
- package/dist/assets/icons/svg/primary-participant-light.svg +1 -0
- package/dist/assets/icons/svg/primary-participant-regular.svg +1 -0
- package/dist/assets/icons/svg/print-bold.svg +1 -0
- package/dist/assets/icons/svg/print-light.svg +1 -0
- package/dist/assets/icons/svg/print-regular.svg +1 -0
- package/dist/assets/icons/svg/priority-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-light.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-two-bold.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-two-filled.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-two-light.svg +1 -0
- package/dist/assets/icons/svg/priority-circle-two-regular.svg +1 -0
- package/dist/assets/icons/svg/priority-wave-bold.svg +1 -0
- package/dist/assets/icons/svg/priority-wave-light.svg +1 -0
- package/dist/assets/icons/svg/priority-wave-regular.svg +1 -0
- package/dist/assets/icons/svg/privacy-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/privacy-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/privacy-circle-light.svg +1 -0
- package/dist/assets/icons/svg/privacy-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/private-bold.svg +1 -0
- package/dist/assets/icons/svg/private-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/private-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/private-circle-light.svg +1 -0
- package/dist/assets/icons/svg/private-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/private-light.svg +1 -0
- package/dist/assets/icons/svg/private-meeting-bold.svg +1 -0
- package/dist/assets/icons/svg/private-meeting-light.svg +1 -0
- package/dist/assets/icons/svg/private-meeting-regular.svg +1 -0
- package/dist/assets/icons/svg/private-regular.svg +1 -0
- package/dist/assets/icons/svg/product-demo-bold.svg +1 -0
- package/dist/assets/icons/svg/product-demo-light.svg +1 -0
- package/dist/assets/icons/svg/product-demo-regular.svg +1 -0
- package/dist/assets/icons/svg/proximity-bold.svg +1 -0
- package/dist/assets/icons/svg/proximity-light.svg +1 -0
- package/dist/assets/icons/svg/proximity-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/proximity-muted-light.svg +1 -0
- package/dist/assets/icons/svg/proximity-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/proximity-regular.svg +1 -0
- package/dist/assets/icons/svg/proximity-video-bold.svg +1 -0
- package/dist/assets/icons/svg/proximity-video-light.svg +1 -0
- package/dist/assets/icons/svg/proximity-video-regular.svg +1 -0
- package/dist/assets/icons/svg/pto-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/pto-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/pto-presence-light.svg +1 -0
- package/dist/assets/icons/svg/pto-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/pull-call-bold.svg +1 -0
- package/dist/assets/icons/svg/pull-call-filled.svg +1 -0
- package/dist/assets/icons/svg/pull-call-light.svg +1 -0
- package/dist/assets/icons/svg/pull-call-regular.svg +1 -0
- package/dist/assets/icons/svg/q-a-bold.svg +1 -0
- package/dist/assets/icons/svg/q-a-light.svg +1 -0
- package/dist/assets/icons/svg/q-a-regular.svg +1 -0
- package/dist/assets/icons/svg/qr-code-bold.svg +1 -0
- package/dist/assets/icons/svg/qr-code-light.svg +1 -0
- package/dist/assets/icons/svg/qr-code-regular.svg +1 -0
- package/dist/assets/icons/svg/qr-code-scan-bold.svg +1 -0
- package/dist/assets/icons/svg/qr-code-scan-light.svg +1 -0
- package/dist/assets/icons/svg/qr-code-scan-regular.svg +1 -0
- package/dist/assets/icons/svg/quality-bold.svg +1 -0
- package/dist/assets/icons/svg/quality-light.svg +1 -0
- package/dist/assets/icons/svg/quality-regular.svg +1 -0
- package/dist/assets/icons/svg/queue-contact-bold.svg +1 -0
- package/dist/assets/icons/svg/queue-contact-filled.svg +1 -0
- package/dist/assets/icons/svg/queue-contact-light.svg +1 -0
- package/dist/assets/icons/svg/queue-contact-regular.svg +1 -0
- package/dist/assets/icons/svg/quiet-bold.svg +1 -0
- package/dist/assets/icons/svg/quiet-hours-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/quiet-hours-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/quiet-hours-presence-light.svg +1 -0
- package/dist/assets/icons/svg/quiet-hours-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/quiet-light.svg +1 -0
- package/dist/assets/icons/svg/quiet-regular.svg +1 -0
- package/dist/assets/icons/svg/radio-button-group-bold.svg +1 -0
- package/dist/assets/icons/svg/radio-button-group-light.svg +1 -0
- package/dist/assets/icons/svg/radio-button-group-regular.svg +1 -0
- package/dist/assets/icons/svg/raise-hand-bold.svg +1 -0
- package/dist/assets/icons/svg/raise-hand-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/raise-hand-filled.svg +1 -0
- package/dist/assets/icons/svg/raise-hand-light.svg +1 -0
- package/dist/assets/icons/svg/raise-hand-regular.svg +1 -0
- package/dist/assets/icons/svg/ram-bold.svg +1 -0
- package/dist/assets/icons/svg/ram-light.svg +1 -0
- package/dist/assets/icons/svg/ram-regular.svg +1 -0
- package/dist/assets/icons/svg/reactions-bold.svg +1 -0
- package/dist/assets/icons/svg/reactions-filled.svg +1 -0
- package/dist/assets/icons/svg/reactions-light.svg +1 -0
- package/dist/assets/icons/svg/reactions-regular.svg +1 -0
- package/dist/assets/icons/svg/reactivate-bold.svg +1 -0
- package/dist/assets/icons/svg/reactivate-light.svg +1 -0
- package/dist/assets/icons/svg/reactivate-regular.svg +1 -0
- package/dist/assets/icons/svg/receive-voice-bold.svg +1 -0
- package/dist/assets/icons/svg/receive-voice-filled.svg +1 -0
- package/dist/assets/icons/svg/receive-voice-light.svg +1 -0
- package/dist/assets/icons/svg/receive-voice-regular.svg +1 -0
- package/dist/assets/icons/svg/recents-bold.svg +1 -0
- package/dist/assets/icons/svg/recents-filled.svg +1 -0
- package/dist/assets/icons/svg/recents-light.svg +1 -0
- package/dist/assets/icons/svg/recents-presence-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/recents-presence-bold.svg +1 -0
- package/dist/assets/icons/svg/recents-presence-filled.svg +1 -0
- package/dist/assets/icons/svg/recents-presence-light.svg +1 -0
- package/dist/assets/icons/svg/recents-presence-regular.svg +1 -0
- package/dist/assets/icons/svg/recents-regular.svg +1 -0
- package/dist/assets/icons/svg/record-active-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/record-active-bold.svg +1 -0
- package/dist/assets/icons/svg/record-active-filled.svg +1 -0
- package/dist/assets/icons/svg/record-active-light.svg +1 -0
- package/dist/assets/icons/svg/record-active-regular.svg +1 -0
- package/dist/assets/icons/svg/record-bold.svg +1 -0
- package/dist/assets/icons/svg/record-filled.svg +1 -0
- package/dist/assets/icons/svg/record-light.svg +1 -0
- package/dist/assets/icons/svg/record-paused-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/record-paused-bold.svg +1 -0
- package/dist/assets/icons/svg/record-paused-filled.svg +1 -0
- package/dist/assets/icons/svg/record-paused-light.svg +1 -0
- package/dist/assets/icons/svg/record-paused-regular.svg +1 -0
- package/dist/assets/icons/svg/record-regular.svg +1 -0
- package/dist/assets/icons/svg/recurring-bold.svg +1 -0
- package/dist/assets/icons/svg/recurring-light.svg +1 -0
- package/dist/assets/icons/svg/recurring-off-bold.svg +1 -0
- package/dist/assets/icons/svg/recurring-off-light.svg +1 -0
- package/dist/assets/icons/svg/recurring-off-regular.svg +1 -0
- package/dist/assets/icons/svg/recurring-regular.svg +1 -0
- package/dist/assets/icons/svg/redo-bold.svg +1 -0
- package/dist/assets/icons/svg/redo-light.svg +1 -0
- package/dist/assets/icons/svg/redo-regular.svg +1 -0
- package/dist/assets/icons/svg/refresh-bold.svg +1 -0
- package/dist/assets/icons/svg/refresh-light.svg +1 -0
- package/dist/assets/icons/svg/refresh-regular.svg +1 -0
- package/dist/assets/icons/svg/remote-desktop-control-bold.svg +1 -0
- package/dist/assets/icons/svg/remote-desktop-control-filled.svg +1 -0
- package/dist/assets/icons/svg/remote-desktop-control-light.svg +1 -0
- package/dist/assets/icons/svg/remote-desktop-control-regular.svg +1 -0
- package/dist/assets/icons/svg/remove-bold.svg +1 -0
- package/dist/assets/icons/svg/remove-filled.svg +1 -0
- package/dist/assets/icons/svg/remove-light.svg +1 -0
- package/dist/assets/icons/svg/remove-regular.svg +1 -0
- package/dist/assets/icons/svg/reply-bold.svg +1 -0
- package/dist/assets/icons/svg/reply-filled.svg +1 -0
- package/dist/assets/icons/svg/reply-light.svg +1 -0
- package/dist/assets/icons/svg/reply-list-bold.svg +1 -0
- package/dist/assets/icons/svg/reply-list-light.svg +1 -0
- package/dist/assets/icons/svg/reply-list-regular.svg +1 -0
- package/dist/assets/icons/svg/reply-privately-bold.svg +1 -0
- package/dist/assets/icons/svg/reply-privately-light.svg +1 -0
- package/dist/assets/icons/svg/reply-privately-regular.svg +1 -0
- package/dist/assets/icons/svg/reply-regular.svg +1 -0
- package/dist/assets/icons/svg/reset-bold.svg +1 -0
- package/dist/assets/icons/svg/reset-light.svg +1 -0
- package/dist/assets/icons/svg/reset-regular.svg +1 -0
- package/dist/assets/icons/svg/resize-corner-bold.svg +1 -0
- package/dist/assets/icons/svg/resize-corner-light.svg +1 -0
- package/dist/assets/icons/svg/resize-corner-regular.svg +1 -0
- package/dist/assets/icons/svg/responsive-mobile-bold.svg +1 -0
- package/dist/assets/icons/svg/responsive-mobile-light.svg +1 -0
- package/dist/assets/icons/svg/responsive-mobile-regular.svg +1 -0
- package/dist/assets/icons/svg/restart-bold.svg +1 -0
- package/dist/assets/icons/svg/restart-light.svg +1 -0
- package/dist/assets/icons/svg/restart-regular.svg +1 -0
- package/dist/assets/icons/svg/retrieve-call-bold.svg +1 -0
- package/dist/assets/icons/svg/retrieve-call-filled.svg +1 -0
- package/dist/assets/icons/svg/retrieve-call-light.svg +1 -0
- package/dist/assets/icons/svg/retrieve-call-regular.svg +1 -0
- package/dist/assets/icons/svg/return-bold.svg +1 -0
- package/dist/assets/icons/svg/return-light.svg +1 -0
- package/dist/assets/icons/svg/return-regular.svg +1 -0
- package/dist/assets/icons/svg/rewind-ten-sec-bold.svg +1 -0
- package/dist/assets/icons/svg/rewind-ten-sec-light.svg +1 -0
- package/dist/assets/icons/svg/rewind-ten-sec-regular.svg +1 -0
- package/dist/assets/icons/svg/ringtone-bold.svg +1 -0
- package/dist/assets/icons/svg/ringtone-light.svg +1 -0
- package/dist/assets/icons/svg/ringtone-regular.svg +1 -0
- package/dist/assets/icons/svg/room-calendar-bold.svg +1 -0
- package/dist/assets/icons/svg/room-calendar-light.svg +1 -0
- package/dist/assets/icons/svg/room-calendar-regular.svg +1 -0
- package/dist/assets/icons/svg/room-lights-bold.svg +1 -0
- package/dist/assets/icons/svg/room-lights-filled.svg +1 -0
- package/dist/assets/icons/svg/room-lights-light.svg +1 -0
- package/dist/assets/icons/svg/room-lights-regular.svg +1 -0
- package/dist/assets/icons/svg/rotate-content-bold.svg +1 -0
- package/dist/assets/icons/svg/rotate-content-filled.svg +1 -0
- package/dist/assets/icons/svg/rotate-content-light.svg +1 -0
- package/dist/assets/icons/svg/rotate-content-regular.svg +1 -0
- package/dist/assets/icons/svg/rss-bold.svg +1 -0
- package/dist/assets/icons/svg/rss-filled.svg +1 -0
- package/dist/assets/icons/svg/rss-light.svg +1 -0
- package/dist/assets/icons/svg/rss-regular.svg +1 -0
- package/dist/assets/icons/svg/rule-based-bold.svg +1 -0
- package/dist/assets/icons/svg/rule-based-light.svg +1 -0
- package/dist/assets/icons/svg/rule-based-regular.svg +1 -0
- package/dist/assets/icons/svg/running-application-bold.svg +1 -0
- package/dist/assets/icons/svg/running-application-filled.svg +1 -0
- package/dist/assets/icons/svg/running-application-light.svg +1 -0
- package/dist/assets/icons/svg/running-application-regular.svg +1 -0
- package/dist/assets/icons/svg/save-bold.svg +1 -0
- package/dist/assets/icons/svg/save-light.svg +1 -0
- package/dist/assets/icons/svg/save-regular.svg +1 -0
- package/dist/assets/icons/svg/save-transcript-bold.svg +1 -0
- package/dist/assets/icons/svg/save-transcript-filled.svg +1 -0
- package/dist/assets/icons/svg/save-transcript-light.svg +1 -0
- package/dist/assets/icons/svg/save-transcript-regular.svg +1 -0
- package/dist/assets/icons/svg/scan-bold.svg +1 -0
- package/dist/assets/icons/svg/scan-light.svg +1 -0
- package/dist/assets/icons/svg/scan-regular.svg +1 -0
- package/dist/assets/icons/svg/schedule-ai-bold.svg +1 -0
- package/dist/assets/icons/svg/schedule-ai-light.svg +1 -0
- package/dist/assets/icons/svg/schedule-ai-regular.svg +1 -0
- package/dist/assets/icons/svg/schedule-send-bold.svg +1 -0
- package/dist/assets/icons/svg/schedule-send-filled.svg +1 -0
- package/dist/assets/icons/svg/schedule-send-light.svg +1 -0
- package/dist/assets/icons/svg/schedule-send-regular.svg +1 -0
- package/dist/assets/icons/svg/schedule-winner-bold.svg +1 -0
- package/dist/assets/icons/svg/schedule-winner-filled.svg +1 -0
- package/dist/assets/icons/svg/schedule-winner-light.svg +1 -0
- package/dist/assets/icons/svg/schedule-winner-regular.svg +1 -0
- package/dist/assets/icons/svg/scheduler-available-bold.svg +1 -0
- package/dist/assets/icons/svg/scheduler-available-light.svg +1 -0
- package/dist/assets/icons/svg/scheduler-available-regular.svg +1 -0
- package/dist/assets/icons/svg/scheduler-not-working-hours-bold.svg +1 -0
- package/dist/assets/icons/svg/scheduler-not-working-hours-light.svg +1 -0
- package/dist/assets/icons/svg/scheduler-not-working-hours-regular.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unavailable-bold.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unavailable-light.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unavailable-regular.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unknown-bold.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unknown-light.svg +1 -0
- package/dist/assets/icons/svg/scheduler-unknown-regular.svg +1 -0
- package/dist/assets/icons/svg/screenshot-bold.svg +1 -0
- package/dist/assets/icons/svg/screenshot-doc-bold.svg +1 -0
- package/dist/assets/icons/svg/screenshot-doc-filled.svg +1 -0
- package/dist/assets/icons/svg/screenshot-doc-light.svg +1 -0
- package/dist/assets/icons/svg/screenshot-doc-regular.svg +1 -0
- package/dist/assets/icons/svg/screenshot-light.svg +1 -0
- package/dist/assets/icons/svg/screenshot-regular.svg +1 -0
- package/dist/assets/icons/svg/search-bold.svg +1 -0
- package/dist/assets/icons/svg/search-filled.svg +1 -0
- package/dist/assets/icons/svg/search-light.svg +1 -0
- package/dist/assets/icons/svg/search-regular.svg +1 -0
- package/dist/assets/icons/svg/secondary-arrow-bold.svg +1 -0
- package/dist/assets/icons/svg/secondary-arrow-light.svg +1 -0
- package/dist/assets/icons/svg/secondary-arrow-regular.svg +1 -0
- package/dist/assets/icons/svg/secure-call-lock-bold.svg +1 -0
- package/dist/assets/icons/svg/secure-call-lock-filled.svg +1 -0
- package/dist/assets/icons/svg/secure-call-lock-light.svg +1 -0
- package/dist/assets/icons/svg/secure-call-lock-regular.svg +1 -0
- package/dist/assets/icons/svg/secure-call-shield-bold.svg +1 -0
- package/dist/assets/icons/svg/secure-call-shield-filled.svg +1 -0
- package/dist/assets/icons/svg/secure-call-shield-light.svg +1 -0
- package/dist/assets/icons/svg/secure-call-shield-regular.svg +1 -0
- package/dist/assets/icons/svg/secure-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/secure-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/secure-circle-light.svg +1 -0
- package/dist/assets/icons/svg/secure-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/secure-lock-bold.svg +1 -0
- package/dist/assets/icons/svg/secure-lock-filled.svg +1 -0
- package/dist/assets/icons/svg/secure-lock-light.svg +1 -0
- package/dist/assets/icons/svg/secure-lock-regular.svg +1 -0
- package/dist/assets/icons/svg/segment-exclude-filled.svg +1 -0
- package/dist/assets/icons/svg/segment-filter-filled.svg +1 -0
- package/dist/assets/icons/svg/segment-include-filled.svg +1 -0
- package/dist/assets/icons/svg/select-all-bold.svg +1 -0
- package/dist/assets/icons/svg/select-all-filled.svg +1 -0
- package/dist/assets/icons/svg/select-all-light.svg +1 -0
- package/dist/assets/icons/svg/select-all-regular.svg +1 -0
- package/dist/assets/icons/svg/selection-bold.svg +1 -0
- package/dist/assets/icons/svg/selection-filled.svg +1 -0
- package/dist/assets/icons/svg/selection-light.svg +1 -0
- package/dist/assets/icons/svg/selection-regular.svg +1 -0
- package/dist/assets/icons/svg/send-bold.svg +1 -0
- package/dist/assets/icons/svg/send-digits-bold.svg +1 -0
- package/dist/assets/icons/svg/send-digits-filled.svg +1 -0
- package/dist/assets/icons/svg/send-digits-light.svg +1 -0
- package/dist/assets/icons/svg/send-digits-regular.svg +1 -0
- package/dist/assets/icons/svg/send-filled.svg +1 -0
- package/dist/assets/icons/svg/send-light.svg +1 -0
- package/dist/assets/icons/svg/send-regular.svg +1 -0
- package/dist/assets/icons/svg/send-voice-bold.svg +1 -0
- package/dist/assets/icons/svg/send-voice-filled.svg +1 -0
- package/dist/assets/icons/svg/send-voice-light.svg +1 -0
- package/dist/assets/icons/svg/send-voice-regular.svg +1 -0
- package/dist/assets/icons/svg/server-bold.svg +1 -0
- package/dist/assets/icons/svg/server-error-bold.svg +1 -0
- package/dist/assets/icons/svg/server-error-light.svg +1 -0
- package/dist/assets/icons/svg/server-error-regular.svg +1 -0
- package/dist/assets/icons/svg/server-light.svg +1 -0
- package/dist/assets/icons/svg/server-regular.svg +1 -0
- package/dist/assets/icons/svg/services-bold.svg +1 -0
- package/dist/assets/icons/svg/services-light.svg +1 -0
- package/dist/assets/icons/svg/services-regular.svg +1 -0
- package/dist/assets/icons/svg/set-variable-bold.svg +1 -0
- package/dist/assets/icons/svg/set-variable-light.svg +1 -0
- package/dist/assets/icons/svg/set-variable-regular.svg +1 -0
- package/dist/assets/icons/svg/settings-bold.svg +1 -0
- package/dist/assets/icons/svg/settings-filled.svg +1 -0
- package/dist/assets/icons/svg/settings-light.svg +1 -0
- package/dist/assets/icons/svg/settings-regular.svg +1 -0
- package/dist/assets/icons/svg/setup-assistant-bold.svg +1 -0
- package/dist/assets/icons/svg/setup-assistant-light.svg +1 -0
- package/dist/assets/icons/svg/setup-assistant-regular.svg +1 -0
- package/dist/assets/icons/svg/sftp-bold.svg +1 -0
- package/dist/assets/icons/svg/sftp-light.svg +1 -0
- package/dist/assets/icons/svg/sftp-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-light.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-md-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-circle-sm-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-diagonal-line-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-diagonal-line-light.svg +1 -0
- package/dist/assets/icons/svg/shape-diagonal-line-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-diamond-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-diamond-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-diamond-light.svg +1 -0
- package/dist/assets/icons/svg/shape-diamond-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-oval-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-oval-light.svg +1 -0
- package/dist/assets/icons/svg/shape-oval-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-square-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-square-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-square-light.svg +1 -0
- package/dist/assets/icons/svg/shape-square-regular.svg +1 -0
- package/dist/assets/icons/svg/shape-triangle-bold.svg +1 -0
- package/dist/assets/icons/svg/shape-triangle-filled.svg +1 -0
- package/dist/assets/icons/svg/shape-triangle-light.svg +1 -0
- package/dist/assets/icons/svg/shape-triangle-regular.svg +1 -0
- package/dist/assets/icons/svg/shapes-bold.svg +1 -0
- package/dist/assets/icons/svg/shapes-filled.svg +1 -0
- package/dist/assets/icons/svg/shapes-light.svg +1 -0
- package/dist/assets/icons/svg/shapes-regular.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-adr-bold.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-adr-filled.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-adr-light.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-adr-regular.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-iph-bold.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-iph-light.svg +1 -0
- package/dist/assets/icons/svg/share-c-native-iph-regular.svg +1 -0
- package/dist/assets/icons/svg/share-content-on-device-bold.svg +1 -0
- package/dist/assets/icons/svg/share-content-on-device-light.svg +1 -0
- package/dist/assets/icons/svg/share-content-on-device-regular.svg +1 -0
- package/dist/assets/icons/svg/share-screen-add-bold.svg +1 -0
- package/dist/assets/icons/svg/share-screen-add-light.svg +1 -0
- package/dist/assets/icons/svg/share-screen-add-regular.svg +1 -0
- package/dist/assets/icons/svg/share-screen-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/share-screen-bold.svg +1 -0
- package/dist/assets/icons/svg/share-screen-filled.svg +1 -0
- package/dist/assets/icons/svg/share-screen-light.svg +1 -0
- package/dist/assets/icons/svg/share-screen-regular.svg +1 -0
- package/dist/assets/icons/svg/share-space-bold.svg +1 -0
- package/dist/assets/icons/svg/share-space-light.svg +1 -0
- package/dist/assets/icons/svg/share-space-regular.svg +1 -0
- package/dist/assets/icons/svg/shield-bold.svg +1 -0
- package/dist/assets/icons/svg/shield-light.svg +1 -0
- package/dist/assets/icons/svg/shield-regular.svg +1 -0
- package/dist/assets/icons/svg/shopping-cart-bold.svg +1 -0
- package/dist/assets/icons/svg/shopping-cart-filled.svg +1 -0
- package/dist/assets/icons/svg/shopping-cart-light.svg +1 -0
- package/dist/assets/icons/svg/shopping-cart-regular.svg +1 -0
- package/dist/assets/icons/svg/short-text-box-bold.svg +1 -0
- package/dist/assets/icons/svg/short-text-box-light.svg +1 -0
- package/dist/assets/icons/svg/short-text-box-regular.svg +1 -0
- package/dist/assets/icons/svg/show-bold.svg +1 -0
- package/dist/assets/icons/svg/show-filled.svg +1 -0
- package/dist/assets/icons/svg/show-light.svg +1 -0
- package/dist/assets/icons/svg/show-regular.svg +1 -0
- package/dist/assets/icons/svg/sign-in-bold.svg +1 -0
- package/dist/assets/icons/svg/sign-in-filled.svg +1 -0
- package/dist/assets/icons/svg/sign-in-forced-bold.svg +1 -0
- package/dist/assets/icons/svg/sign-in-forced-light.svg +1 -0
- package/dist/assets/icons/svg/sign-in-forced-regular.svg +1 -0
- package/dist/assets/icons/svg/sign-in-light.svg +1 -0
- package/dist/assets/icons/svg/sign-in-regular.svg +1 -0
- package/dist/assets/icons/svg/sign-lang-int-bold.svg +1 -0
- package/dist/assets/icons/svg/sign-lang-int-light.svg +1 -0
- package/dist/assets/icons/svg/sign-lang-int-regular.svg +1 -0
- package/dist/assets/icons/svg/sign-out-bold.svg +1 -0
- package/dist/assets/icons/svg/sign-out-light.svg +1 -0
- package/dist/assets/icons/svg/sign-out-regular.svg +1 -0
- package/dist/assets/icons/svg/signal-four-bold.svg +1 -0
- package/dist/assets/icons/svg/signal-four-light.svg +1 -0
- package/dist/assets/icons/svg/signal-four-regular.svg +1 -0
- package/dist/assets/icons/svg/signal-one-bold.svg +1 -0
- package/dist/assets/icons/svg/signal-one-light.svg +1 -0
- package/dist/assets/icons/svg/signal-one-regular.svg +1 -0
- package/dist/assets/icons/svg/signal-three-bold.svg +1 -0
- package/dist/assets/icons/svg/signal-three-light.svg +1 -0
- package/dist/assets/icons/svg/signal-three-regular.svg +1 -0
- package/dist/assets/icons/svg/signal-two-bold.svg +1 -0
- package/dist/assets/icons/svg/signal-two-light.svg +1 -0
- package/dist/assets/icons/svg/signal-two-regular.svg +1 -0
- package/dist/assets/icons/svg/signal-zero-bold.svg +1 -0
- package/dist/assets/icons/svg/signal-zero-light.svg +1 -0
- package/dist/assets/icons/svg/signal-zero-regular.svg +1 -0
- package/dist/assets/icons/svg/simple-promotion-bold.svg +1 -0
- package/dist/assets/icons/svg/simple-promotion-light.svg +1 -0
- package/dist/assets/icons/svg/simple-promotion-regular.svg +1 -0
- package/dist/assets/icons/svg/single-number-reach-bold.svg +1 -0
- package/dist/assets/icons/svg/single-number-reach-light.svg +1 -0
- package/dist/assets/icons/svg/single-number-reach-regular.svg +1 -0
- package/dist/assets/icons/svg/sip-registration-in-progress-bold.svg +1 -0
- package/dist/assets/icons/svg/sip-registration-in-progress-filled.svg +1 -0
- package/dist/assets/icons/svg/sip-registration-in-progress-light.svg +1 -0
- package/dist/assets/icons/svg/sip-registration-in-progress-regular.svg +1 -0
- package/dist/assets/icons/svg/skip-bold.svg +1 -0
- package/dist/assets/icons/svg/skip-bw-bold.svg +1 -0
- package/dist/assets/icons/svg/skip-bw-filled.svg +1 -0
- package/dist/assets/icons/svg/skip-bw-light.svg +1 -0
- package/dist/assets/icons/svg/skip-bw-regular.svg +1 -0
- package/dist/assets/icons/svg/skip-fw-bold.svg +1 -0
- package/dist/assets/icons/svg/skip-fw-filled.svg +1 -0
- package/dist/assets/icons/svg/skip-fw-light.svg +1 -0
- package/dist/assets/icons/svg/skip-fw-regular.svg +1 -0
- package/dist/assets/icons/svg/skip-light.svg +1 -0
- package/dist/assets/icons/svg/skip-regular.svg +1 -0
- package/dist/assets/icons/svg/smart-audio-bold.svg +1 -0
- package/dist/assets/icons/svg/smart-audio-light.svg +1 -0
- package/dist/assets/icons/svg/smart-audio-regular.svg +1 -0
- package/dist/assets/icons/svg/sms-filled.svg +1 -0
- package/dist/assets/icons/svg/sms-inbound-bold.svg +1 -0
- package/dist/assets/icons/svg/sms-inbound-light.svg +1 -0
- package/dist/assets/icons/svg/sms-inbound-regular.svg +1 -0
- package/dist/assets/icons/svg/sms-message-bold.svg +1 -0
- package/dist/assets/icons/svg/sms-message-filled.svg +1 -0
- package/dist/assets/icons/svg/sms-message-light.svg +1 -0
- package/dist/assets/icons/svg/sms-message-regular.svg +1 -0
- package/dist/assets/icons/svg/sms-outgoing-filled.svg +1 -0
- package/dist/assets/icons/svg/sms-unread-bold.svg +1 -0
- package/dist/assets/icons/svg/sms-unread-light.svg +1 -0
- package/dist/assets/icons/svg/sms-unread-regular.svg +1 -0
- package/dist/assets/icons/svg/sort-ascending-bold.svg +1 -0
- package/dist/assets/icons/svg/sort-ascending-light.svg +1 -0
- package/dist/assets/icons/svg/sort-ascending-regular.svg +1 -0
- package/dist/assets/icons/svg/sort-descending-bold.svg +1 -0
- package/dist/assets/icons/svg/sort-descending-light.svg +1 -0
- package/dist/assets/icons/svg/sort-descending-regular.svg +1 -0
- package/dist/assets/icons/svg/sound-default-bold.svg +1 -0
- package/dist/assets/icons/svg/sound-default-light.svg +1 -0
- package/dist/assets/icons/svg/sound-default-regular.svg +1 -0
- package/dist/assets/icons/svg/sparkle-bold.svg +1 -0
- package/dist/assets/icons/svg/sparkle-filled.svg +1 -0
- package/dist/assets/icons/svg/sparkle-light.svg +1 -0
- package/dist/assets/icons/svg/sparkle-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-disconnected-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-disconnected-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-disconnected-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-disconnected-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-muted-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-muted-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-off-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-off-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-off-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-off-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-on-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-on-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-on-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-down-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-down-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-down-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-down-regular.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-up-bold.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-up-filled.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-up-light.svg +1 -0
- package/dist/assets/icons/svg/speaker-turn-up-regular.svg +1 -0
- package/dist/assets/icons/svg/speed-dial-bold.svg +1 -0
- package/dist/assets/icons/svg/speed-dial-filled.svg +1 -0
- package/dist/assets/icons/svg/speed-dial-light.svg +1 -0
- package/dist/assets/icons/svg/speed-dial-regular.svg +1 -0
- package/dist/assets/icons/svg/spell-checker-bold.svg +1 -0
- package/dist/assets/icons/svg/spell-checker-light.svg +1 -0
- package/dist/assets/icons/svg/spell-checker-regular.svg +1 -0
- package/dist/assets/icons/svg/split-view-bold.svg +1 -0
- package/dist/assets/icons/svg/split-view-light.svg +1 -0
- package/dist/assets/icons/svg/split-view-regular.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-full-bold.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-full-filled.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-full-light.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-full-regular.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-light.svg +1 -0
- package/dist/assets/icons/svg/stacked-area-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-bold.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-filled.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-full-bold.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-full-filled.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-full-light.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-full-regular.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-light.svg +1 -0
- package/dist/assets/icons/svg/stacked-bar-chart-regular.svg +1 -0
- package/dist/assets/icons/svg/start-chat-bold.svg +1 -0
- package/dist/assets/icons/svg/start-chat-light.svg +1 -0
- package/dist/assets/icons/svg/start-chat-regular.svg +1 -0
- package/dist/assets/icons/svg/stethoscope-bold.svg +1 -0
- package/dist/assets/icons/svg/stethoscope-light.svg +1 -0
- package/dist/assets/icons/svg/stethoscope-regular.svg +1 -0
- package/dist/assets/icons/svg/stickers-bold.svg +1 -0
- package/dist/assets/icons/svg/stickers-light.svg +1 -0
- package/dist/assets/icons/svg/stickers-regular.svg +1 -0
- package/dist/assets/icons/svg/stickies-bold.svg +1 -0
- package/dist/assets/icons/svg/stickies-light.svg +1 -0
- package/dist/assets/icons/svg/stickies-regular.svg +1 -0
- package/dist/assets/icons/svg/stop-bold.svg +1 -0
- package/dist/assets/icons/svg/stop-circle-bold.svg +1 -0
- package/dist/assets/icons/svg/stop-circle-filled.svg +1 -0
- package/dist/assets/icons/svg/stop-circle-light.svg +1 -0
- package/dist/assets/icons/svg/stop-circle-regular.svg +1 -0
- package/dist/assets/icons/svg/stop-content-share-bold.svg +1 -0
- package/dist/assets/icons/svg/stop-content-share-light.svg +1 -0
- package/dist/assets/icons/svg/stop-content-share-regular.svg +1 -0
- package/dist/assets/icons/svg/stop-filled.svg +1 -0
- package/dist/assets/icons/svg/stop-light.svg +1 -0
- package/dist/assets/icons/svg/stop-regular.svg +1 -0
- package/dist/assets/icons/svg/stored-info-bold.svg +1 -0
- package/dist/assets/icons/svg/stored-info-filled.svg +1 -0
- package/dist/assets/icons/svg/stored-info-light.svg +1 -0
- package/dist/assets/icons/svg/stored-info-regular.svg +1 -0
- package/dist/assets/icons/svg/streaming-bold.svg +1 -0
- package/dist/assets/icons/svg/streaming-light.svg +1 -0
- package/dist/assets/icons/svg/streaming-regular.svg +1 -0
- package/dist/assets/icons/svg/strikethrough-bold.svg +1 -0
- package/dist/assets/icons/svg/strikethrough-filled.svg +1 -0
- package/dist/assets/icons/svg/strikethrough-light.svg +1 -0
- package/dist/assets/icons/svg/strikethrough-regular.svg +1 -0
- package/dist/assets/icons/svg/studio-mode-bold.svg +1 -0
- package/dist/assets/icons/svg/studio-mode-light.svg +1 -0
- package/dist/assets/icons/svg/studio-mode-regular.svg +1 -0
- package/dist/assets/icons/svg/subscript-bold.svg +1 -0
- package/dist/assets/icons/svg/subscript-light.svg +1 -0
- package/dist/assets/icons/svg/subscript-regular.svg +1 -0
- package/dist/assets/icons/svg/summarize-ai-bold.svg +1 -0
- package/dist/assets/icons/svg/summarize-ai-light.svg +1 -0
- package/dist/assets/icons/svg/summarize-ai-regular.svg +1 -0
- package/dist/assets/icons/svg/superscript-bold.svg +1 -0
- package/dist/assets/icons/svg/superscript-light.svg +1 -0
- package/dist/assets/icons/svg/superscript-regular.svg +1 -0
- package/dist/assets/icons/svg/survey-bold.svg +1 -0
- package/dist/assets/icons/svg/survey-filled.svg +1 -0
- package/dist/assets/icons/svg/survey-light.svg +1 -0
- package/dist/assets/icons/svg/survey-regular.svg +1 -0
- package/dist/assets/icons/svg/sx-eighty-codec-bold.svg +1 -0
- package/dist/assets/icons/svg/sx-eighty-codec-light.svg +1 -0
- package/dist/assets/icons/svg/sx-eighty-codec-regular.svg +1 -0
- package/dist/assets/icons/svg/sx-ten-bold.svg +1 -0
- package/dist/assets/icons/svg/sx-ten-light.svg +1 -0
- package/dist/assets/icons/svg/sx-ten-regular.svg +1 -0
- package/dist/assets/icons/svg/sx-twenty-bold.svg +1 -0
- package/dist/assets/icons/svg/sx-twenty-light.svg +1 -0
- package/dist/assets/icons/svg/sx-twenty-regular.svg +1 -0
- package/dist/assets/icons/svg/table-bold.svg +1 -0
- package/dist/assets/icons/svg/table-light.svg +1 -0
- package/dist/assets/icons/svg/table-regular.svg +1 -0
- package/dist/assets/icons/svg/tablet-bold.svg +1 -0
- package/dist/assets/icons/svg/tablet-light.svg +1 -0
- package/dist/assets/icons/svg/tablet-regular.svg +1 -0
- package/dist/assets/icons/svg/tabs-bold.svg +1 -0
- package/dist/assets/icons/svg/tabs-light.svg +1 -0
- package/dist/assets/icons/svg/tabs-regular.svg +1 -0
- package/dist/assets/icons/svg/tag-bold.svg +1 -0
- package/dist/assets/icons/svg/tag-light.svg +1 -0
- package/dist/assets/icons/svg/tag-regular.svg +1 -0
- package/dist/assets/icons/svg/tap-bold.svg +1 -0
- package/dist/assets/icons/svg/tap-filled.svg +1 -0
- package/dist/assets/icons/svg/tap-light.svg +1 -0
- package/dist/assets/icons/svg/tap-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-muted-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-alert-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-ix-five-thousand-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-ix-five-thousand-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-ix-five-thousand-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-muted-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-private-bold.svg +1 -0
- package/dist/assets/icons/svg/telepresence-private-light.svg +1 -0
- package/dist/assets/icons/svg/telepresence-private-regular.svg +1 -0
- package/dist/assets/icons/svg/telepresence-regular.svg +1 -0
- package/dist/assets/icons/svg/temperature-bold.svg +1 -0
- package/dist/assets/icons/svg/temperature-light.svg +1 -0
- package/dist/assets/icons/svg/temperature-regular.svg +1 -0
- package/dist/assets/icons/svg/test-tube-bold.svg +1 -0
- package/dist/assets/icons/svg/test-tube-filled.svg +1 -0
- package/dist/assets/icons/svg/test-tube-light.svg +1 -0
- package/dist/assets/icons/svg/test-tube-regular.svg +1 -0
- package/dist/assets/icons/svg/text-align-center-bold.svg +1 -0
- package/dist/assets/icons/svg/text-align-center-filled.svg +1 -0
- package/dist/assets/icons/svg/text-align-center-light.svg +1 -0
- package/dist/assets/icons/svg/text-align-center-regular.svg +1 -0
- package/dist/assets/icons/svg/text-align-left-bold.svg +1 -0
- package/dist/assets/icons/svg/text-align-left-filled.svg +1 -0
- package/dist/assets/icons/svg/text-align-left-light.svg +1 -0
- package/dist/assets/icons/svg/text-align-left-regular.svg +1 -0
- package/dist/assets/icons/svg/text-align-right-bold.svg +1 -0
- package/dist/assets/icons/svg/text-align-right-filled.svg +1 -0
- package/dist/assets/icons/svg/text-align-right-light.svg +1 -0
- package/dist/assets/icons/svg/text-align-right-regular.svg +1 -0
- package/dist/assets/icons/svg/text-bold.svg +1 -0
- package/dist/assets/icons/svg/text-box-cursor-light.svg +1 -0
- package/dist/assets/icons/svg/text-code-block-bold.svg +1 -0
- package/dist/assets/icons/svg/text-code-block-light.svg +1 -0
- package/dist/assets/icons/svg/text-code-block-regular.svg +1 -0
- package/dist/assets/icons/svg/text-highlight-bold.svg +1 -0
- package/dist/assets/icons/svg/text-highlight-filled.svg +1 -0
- package/dist/assets/icons/svg/text-highlight-light.svg +1 -0
- package/dist/assets/icons/svg/text-highlight-regular.svg +1 -0
- package/dist/assets/icons/svg/text-light.svg +1 -0
- package/dist/assets/icons/svg/text-regular.svg +1 -0
- package/dist/assets/icons/svg/three-column-bold.svg +1 -0
- package/dist/assets/icons/svg/three-column-light.svg +1 -0
- package/dist/assets/icons/svg/three-column-regular.svg +1 -0
- package/dist/assets/icons/svg/three-d-object-bold.svg +1 -0
- package/dist/assets/icons/svg/three-d-object-light.svg +1 -0
- package/dist/assets/icons/svg/three-d-object-regular.svg +1 -0
- package/dist/assets/icons/svg/time-exclusion-bold.svg +1 -0
- package/dist/assets/icons/svg/time-exclusion-light.svg +1 -0
- package/dist/assets/icons/svg/time-exclusion-regular.svg +1 -0
- package/dist/assets/icons/svg/too-fast-bold.svg +1 -0
- package/dist/assets/icons/svg/too-fast-light.svg +1 -0
- package/dist/assets/icons/svg/too-fast-regular.svg +1 -0
- package/dist/assets/icons/svg/too-slow-bold.svg +1 -0
- package/dist/assets/icons/svg/too-slow-light.svg +1 -0
- package/dist/assets/icons/svg/too-slow-regular.svg +1 -0
- package/dist/assets/icons/svg/tools-bold.svg +1 -0
- package/dist/assets/icons/svg/tools-filled.svg +1 -0
- package/dist/assets/icons/svg/tools-light.svg +1 -0
- package/dist/assets/icons/svg/tools-regular.svg +1 -0
- package/dist/assets/icons/svg/touch-ten-bold.svg +1 -0
- package/dist/assets/icons/svg/touch-ten-light.svg +1 -0
- package/dist/assets/icons/svg/touch-ten-regular.svg +1 -0
- package/dist/assets/icons/svg/transcript-bold.svg +1 -0
- package/dist/assets/icons/svg/transcript-filled.svg +1 -0
- package/dist/assets/icons/svg/transcript-light.svg +1 -0
- package/dist/assets/icons/svg/transcript-regular.svg +1 -0
- package/dist/assets/icons/svg/translate-bold.svg +1 -0
- package/dist/assets/icons/svg/translate-light.svg +1 -0
- package/dist/assets/icons/svg/translate-regular.svg +1 -0
- package/dist/assets/icons/svg/translate-undo-bold.svg +1 -0
- package/dist/assets/icons/svg/translate-undo-light.svg +1 -0
- package/dist/assets/icons/svg/translate-undo-regular.svg +1 -0
- package/dist/assets/icons/svg/trending-bold.svg +1 -0
- package/dist/assets/icons/svg/trending-down-bold.svg +1 -0
- package/dist/assets/icons/svg/trending-down-filled.svg +1 -0
- package/dist/assets/icons/svg/trending-down-light.svg +1 -0
- package/dist/assets/icons/svg/trending-down-regular.svg +1 -0
- package/dist/assets/icons/svg/trending-filled.svg +1 -0
- package/dist/assets/icons/svg/trending-light.svg +1 -0
- package/dist/assets/icons/svg/trending-regular.svg +1 -0
- package/dist/assets/icons/svg/trim-bold.svg +1 -0
- package/dist/assets/icons/svg/trim-light.svg +1 -0
- package/dist/assets/icons/svg/trim-regular.svg +1 -0
- package/dist/assets/icons/svg/two-column-bold.svg +1 -0
- package/dist/assets/icons/svg/two-column-light.svg +1 -0
- package/dist/assets/icons/svg/two-column-regular.svg +1 -0
- package/dist/assets/icons/svg/two-way-voice-stream-bold.svg +1 -0
- package/dist/assets/icons/svg/two-way-voice-stream-filled.svg +1 -0
- package/dist/assets/icons/svg/two-way-voice-stream-light.svg +1 -0
- package/dist/assets/icons/svg/two-way-voice-stream-regular.svg +1 -0
- package/dist/assets/icons/svg/ucm-cloud-bold.svg +1 -0
- package/dist/assets/icons/svg/ucm-cloud-light.svg +1 -0
- package/dist/assets/icons/svg/ucm-cloud-regular.svg +1 -0
- package/dist/assets/icons/svg/unblock-bold.svg +1 -0
- package/dist/assets/icons/svg/unblock-filled.svg +1 -0
- package/dist/assets/icons/svg/unblock-light.svg +1 -0
- package/dist/assets/icons/svg/unblock-regular.svg +1 -0
- package/dist/assets/icons/svg/underline-bold.svg +1 -0
- package/dist/assets/icons/svg/underline-filled.svg +1 -0
- package/dist/assets/icons/svg/underline-light.svg +1 -0
- package/dist/assets/icons/svg/underline-regular.svg +1 -0
- package/dist/assets/icons/svg/undo-bold.svg +1 -0
- package/dist/assets/icons/svg/undo-light.svg +1 -0
- package/dist/assets/icons/svg/undo-regular.svg +1 -0
- package/dist/assets/icons/svg/unlink-bold.svg +1 -0
- package/dist/assets/icons/svg/unlink-light.svg +1 -0
- package/dist/assets/icons/svg/unlink-regular.svg +1 -0
- package/dist/assets/icons/svg/unread-bold.svg +1 -0
- package/dist/assets/icons/svg/unread-filled.svg +1 -0
- package/dist/assets/icons/svg/unread-light.svg +1 -0
- package/dist/assets/icons/svg/unread-regular.svg +1 -0
- package/dist/assets/icons/svg/unsecure-unlocked-bold.svg +1 -0
- package/dist/assets/icons/svg/unsecure-unlocked-filled.svg +1 -0
- package/dist/assets/icons/svg/unsecure-unlocked-light.svg +1 -0
- package/dist/assets/icons/svg/unsecure-unlocked-regular.svg +1 -0
- package/dist/assets/icons/svg/unsorted-bold.svg +1 -0
- package/dist/assets/icons/svg/unsorted-light.svg +1 -0
- package/dist/assets/icons/svg/unsorted-regular.svg +1 -0
- package/dist/assets/icons/svg/update-file-share-bold.svg +1 -0
- package/dist/assets/icons/svg/update-file-share-light.svg +1 -0
- package/dist/assets/icons/svg/update-file-share-regular.svg +1 -0
- package/dist/assets/icons/svg/upload-bold.svg +1 -0
- package/dist/assets/icons/svg/upload-image-bold.svg +1 -0
- package/dist/assets/icons/svg/upload-image-light.svg +1 -0
- package/dist/assets/icons/svg/upload-image-regular.svg +1 -0
- package/dist/assets/icons/svg/upload-light.svg +1 -0
- package/dist/assets/icons/svg/upload-regular.svg +1 -0
- package/dist/assets/icons/svg/urgent-voicemail-bold.svg +1 -0
- package/dist/assets/icons/svg/urgent-voicemail-filled.svg +1 -0
- package/dist/assets/icons/svg/usb-bold.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-bold.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-light.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-muted-bold.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-muted-light.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-muted-regular.svg +1 -0
- package/dist/assets/icons/svg/usb-headset-regular.svg +1 -0
- package/dist/assets/icons/svg/usb-light.svg +1 -0
- package/dist/assets/icons/svg/usb-regular.svg +1 -0
- package/dist/assets/icons/svg/user-bold.svg +1 -0
- package/dist/assets/icons/svg/user-deactivate-bold.svg +1 -0
- package/dist/assets/icons/svg/user-deactivate-light.svg +1 -0
- package/dist/assets/icons/svg/user-deactivate-regular.svg +1 -0
- package/dist/assets/icons/svg/user-light.svg +1 -0
- package/dist/assets/icons/svg/user-regular.svg +1 -0
- package/dist/assets/icons/svg/video-blur-bold.svg +1 -0
- package/dist/assets/icons/svg/video-blur-filled.svg +1 -0
- package/dist/assets/icons/svg/video-blur-light.svg +1 -0
- package/dist/assets/icons/svg/video-blur-regular.svg +1 -0
- package/dist/assets/icons/svg/video-bold.svg +1 -0
- package/dist/assets/icons/svg/video-effect-bold.svg +1 -0
- package/dist/assets/icons/svg/video-effect-filled.svg +1 -0
- package/dist/assets/icons/svg/video-effect-light.svg +1 -0
- package/dist/assets/icons/svg/video-effect-regular.svg +1 -0
- package/dist/assets/icons/svg/video-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-dual-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-dual-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-dual-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-equal-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-overlay-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-overlay-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-overlay-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-overlay-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-presenter-dominant-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-presenter-dominant-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-presenter-dominant-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-prominent-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-prominent-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-prominent-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-prominent-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-share-dominant-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-share-dominant-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-share-dominant-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-single-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-single-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-single-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-single-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-stack-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-stack-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-stack-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-stack-regular.svg +1 -0
- package/dist/assets/icons/svg/video-layout-video-dominant-bold.svg +1 -0
- package/dist/assets/icons/svg/video-layout-video-dominant-filled.svg +1 -0
- package/dist/assets/icons/svg/video-layout-video-dominant-light.svg +1 -0
- package/dist/assets/icons/svg/video-layout-video-dominant-regular.svg +1 -0
- package/dist/assets/icons/svg/video-light.svg +1 -0
- package/dist/assets/icons/svg/video-plus-bold.svg +1 -0
- package/dist/assets/icons/svg/video-plus-filled.svg +1 -0
- package/dist/assets/icons/svg/video-plus-light.svg +1 -0
- package/dist/assets/icons/svg/video-plus-regular.svg +1 -0
- package/dist/assets/icons/svg/video-regular.svg +1 -0
- package/dist/assets/icons/svg/video-speaker-track-bold.svg +1 -0
- package/dist/assets/icons/svg/video-speaker-track-filled.svg +1 -0
- package/dist/assets/icons/svg/video-speaker-track-light.svg +1 -0
- package/dist/assets/icons/svg/video-speaker-track-regular.svg +1 -0
- package/dist/assets/icons/svg/view-all-bold.svg +1 -0
- package/dist/assets/icons/svg/view-all-light.svg +1 -0
- package/dist/assets/icons/svg/view-all-regular.svg +1 -0
- package/dist/assets/icons/svg/view-list-bold.svg +1 -0
- package/dist/assets/icons/svg/view-list-filled.svg +1 -0
- package/dist/assets/icons/svg/view-list-light.svg +1 -0
- package/dist/assets/icons/svg/view-list-regular.svg +1 -0
- package/dist/assets/icons/svg/view-stacked-bold.svg +1 -0
- package/dist/assets/icons/svg/view-stacked-filled.svg +1 -0
- package/dist/assets/icons/svg/view-stacked-light.svg +1 -0
- package/dist/assets/icons/svg/view-stacked-regular.svg +1 -0
- package/dist/assets/icons/svg/view-thumbnail-bold.svg +1 -0
- package/dist/assets/icons/svg/view-thumbnail-filled.svg +1 -0
- package/dist/assets/icons/svg/view-thumbnail-light.svg +1 -0
- package/dist/assets/icons/svg/view-thumbnail-regular.svg +1 -0
- package/dist/assets/icons/svg/visionpro-bold.svg +1 -0
- package/dist/assets/icons/svg/visionpro-filled.svg +1 -0
- package/dist/assets/icons/svg/visionpro-light.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-bold.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-filled.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-light.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-out-bold.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-out-filled.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-out-light.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-out-regular.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-in-regular.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-out-bold.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-out-filled.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-out-light.svg +1 -0
- package/dist/assets/icons/svg/visionpro-move-call-out-regular.svg +1 -0
- package/dist/assets/icons/svg/visionpro-regular.svg +1 -0
- package/dist/assets/icons/svg/voicemail-bold.svg +1 -0
- package/dist/assets/icons/svg/voicemail-filled.svg +1 -0
- package/dist/assets/icons/svg/voicemail-light.svg +1 -0
- package/dist/assets/icons/svg/voicemail-regular.svg +1 -0
- package/dist/assets/icons/svg/vpn-bold.svg +1 -0
- package/dist/assets/icons/svg/vpn-filled.svg +1 -0
- package/dist/assets/icons/svg/vpn-light.svg +1 -0
- package/dist/assets/icons/svg/vpn-regular.svg +1 -0
- package/dist/assets/icons/svg/vsc-bold.svg +1 -0
- package/dist/assets/icons/svg/vsc-light.svg +1 -0
- package/dist/assets/icons/svg/vsc-regular.svg +1 -0
- package/dist/assets/icons/svg/waffle-menu-bold.svg +1 -0
- package/dist/assets/icons/svg/waffle-menu-light.svg +1 -0
- package/dist/assets/icons/svg/waffle-menu-regular.svg +1 -0
- package/dist/assets/icons/svg/waiting-room-bold.svg +1 -0
- package/dist/assets/icons/svg/waiting-room-light.svg +1 -0
- package/dist/assets/icons/svg/waiting-room-regular.svg +1 -0
- package/dist/assets/icons/svg/wallpaper-bold.svg +1 -0
- package/dist/assets/icons/svg/wallpaper-light.svg +1 -0
- package/dist/assets/icons/svg/wallpaper-regular.svg +1 -0
- package/dist/assets/icons/svg/warning-badge-filled.svg +1 -0
- package/dist/assets/icons/svg/warning-bold.svg +1 -0
- package/dist/assets/icons/svg/warning-filled.svg +1 -0
- package/dist/assets/icons/svg/warning-light.svg +1 -0
- package/dist/assets/icons/svg/warning-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-board-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-board-light.svg +1 -0
- package/dist/assets/icons/svg/webex-board-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-codec-plus-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-codec-plus-light.svg +1 -0
- package/dist/assets/icons/svg/webex-codec-plus-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-desk-camera-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-desk-camera-light.svg +1 -0
- package/dist/assets/icons/svg/webex-desk-camera-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-helix-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-helix-light.svg +1 -0
- package/dist/assets/icons/svg/webex-helix-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-meetings-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-meetings-filled.svg +1 -0
- package/dist/assets/icons/svg/webex-meetings-light.svg +1 -0
- package/dist/assets/icons/svg/webex-meetings-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-quad-camera-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-quad-camera-light.svg +1 -0
- package/dist/assets/icons/svg/webex-quad-camera-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-light.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-plus-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-plus-light.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-plus-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-room-kit-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-share-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-share-light.svg +1 -0
- package/dist/assets/icons/svg/webex-share-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-filled.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-light.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-new-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-new-filled.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-new-light.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-new-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-regular.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-remove-bold.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-remove-light.svg +1 -0
- package/dist/assets/icons/svg/webex-teams-remove-regular.svg +1 -0
- package/dist/assets/icons/svg/webinar-bold.svg +1 -0
- package/dist/assets/icons/svg/webinar-filled.svg +1 -0
- package/dist/assets/icons/svg/webinar-light.svg +1 -0
- package/dist/assets/icons/svg/webinar-regular.svg +1 -0
- package/dist/assets/icons/svg/weekly-recurring-schedule-bold.svg +1 -0
- package/dist/assets/icons/svg/weekly-recurring-schedule-light.svg +1 -0
- package/dist/assets/icons/svg/weekly-recurring-schedule-regular.svg +1 -0
- package/dist/assets/icons/svg/whisper-announcement-bold.svg +1 -0
- package/dist/assets/icons/svg/whisper-announcement-filled.svg +1 -0
- package/dist/assets/icons/svg/whisper-announcement-light.svg +1 -0
- package/dist/assets/icons/svg/whisper-announcement-regular.svg +1 -0
- package/dist/assets/icons/svg/whisper-coach-bold.svg +1 -0
- package/dist/assets/icons/svg/whisper-coach-filled.svg +1 -0
- package/dist/assets/icons/svg/whisper-coach-light.svg +1 -0
- package/dist/assets/icons/svg/whisper-coach-regular.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-bold.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-content-bold.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-content-filled.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-content-light.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-content-regular.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-filled.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-light.svg +1 -0
- package/dist/assets/icons/svg/whiteboard-regular.svg +1 -0
- package/dist/assets/icons/svg/widget-bold.svg +1 -0
- package/dist/assets/icons/svg/widget-filled.svg +1 -0
- package/dist/assets/icons/svg/widget-light.svg +1 -0
- package/dist/assets/icons/svg/widget-regular.svg +1 -0
- package/dist/assets/icons/svg/wifi-bold.svg +1 -0
- package/dist/assets/icons/svg/wifi-error-bold.svg +1 -0
- package/dist/assets/icons/svg/wifi-error-light.svg +1 -0
- package/dist/assets/icons/svg/wifi-error-regular.svg +1 -0
- package/dist/assets/icons/svg/wifi-light.svg +1 -0
- package/dist/assets/icons/svg/wifi-regular.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-good-bold.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-good-light.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-good-regular.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-poor-bold.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-poor-light.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-poor-regular.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-unstable-bold.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-unstable-light.svg +1 -0
- package/dist/assets/icons/svg/wifi-signal-unstable-regular.svg +1 -0
- package/dist/assets/icons/svg/window-corner-scrub-bold.svg +1 -0
- package/dist/assets/icons/svg/window-corner-scrub-light.svg +1 -0
- package/dist/assets/icons/svg/window-corner-scrub-regular.svg +1 -0
- package/dist/assets/icons/svg/window-right-corner-scrub-bold.svg +1 -0
- package/dist/assets/icons/svg/window-right-corner-scrub-light.svg +1 -0
- package/dist/assets/icons/svg/window-right-corner-scrub-regular.svg +1 -0
- package/dist/assets/icons/svg/window-vertical-scrub-bold.svg +1 -0
- package/dist/assets/icons/svg/window-vertical-scrub-light.svg +1 -0
- package/dist/assets/icons/svg/window-vertical-scrub-regular.svg +1 -0
- package/dist/assets/icons/svg/workflow-deployments-bold.svg +1 -0
- package/dist/assets/icons/svg/workflow-deployments-filled.svg +1 -0
- package/dist/assets/icons/svg/workflow-deployments-light.svg +1 -0
- package/dist/assets/icons/svg/workflow-deployments-regular.svg +1 -0
- package/dist/assets/icons/svg/workphone-bold.svg +1 -0
- package/dist/assets/icons/svg/workphone-light.svg +1 -0
- package/dist/assets/icons/svg/workphone-regular.svg +1 -0
- package/dist/assets/icons/svg/zoom-in-bold.svg +1 -0
- package/dist/assets/icons/svg/zoom-in-light.svg +1 -0
- package/dist/assets/icons/svg/zoom-in-regular.svg +1 -0
- package/dist/assets/icons/svg/zoom-out-bold.svg +1 -0
- package/dist/assets/icons/svg/zoom-out-light.svg +1 -0
- package/dist/assets/icons/svg/zoom-out-regular.svg +1 -0
- package/dist/chunks/md-1036.js +1 -1
- package/dist/chunks/md-108.js +1 -1
- package/dist/chunks/md-1180.js +1 -1
- package/dist/chunks/md-1297.js +1 -1
- package/dist/chunks/md-1352.js +1 -1
- package/dist/chunks/md-1497.js +1 -1
- package/dist/chunks/md-1519.js +1 -1
- package/dist/chunks/md-1533.js +1 -1
- package/dist/chunks/md-1679.js +1 -1
- package/dist/chunks/md-1786.js +1 -1
- package/dist/chunks/md-181.js +1 -1
- package/dist/chunks/md-1870.js +1 -1
- package/dist/chunks/md-1942.js +1 -1
- package/dist/chunks/md-1983.js +1 -1
- package/dist/chunks/md-2052.js +1 -1
- package/dist/chunks/md-2086.js +1 -1
- package/dist/chunks/md-2224.js +1 -1
- package/dist/chunks/md-2241.js +1 -1
- package/dist/chunks/md-2292.js +1 -1
- package/dist/chunks/md-2329.js +1 -1
- package/dist/chunks/md-2402.js +1 -1
- package/dist/chunks/md-2539.js +1 -1
- package/dist/chunks/md-258.js +1 -1
- package/dist/chunks/md-2670.js +1 -1
- package/dist/chunks/md-2698.js +1 -1
- package/dist/chunks/md-2701.js +1 -1
- package/dist/chunks/md-2731.js +1 -1
- package/dist/chunks/md-279.js +1 -1
- package/dist/chunks/md-2825.js +1 -1
- package/dist/chunks/md-2896.js +1 -1
- package/dist/chunks/md-2923.js +1 -1
- package/dist/chunks/md-2962.js +1 -1
- package/dist/chunks/md-2970.js +1 -1
- package/dist/chunks/md-2978.js +1 -1
- package/dist/chunks/md-3195.js +1 -1
- package/dist/chunks/md-3255.js +1 -1
- package/dist/chunks/md-3270.js +1 -1
- package/dist/chunks/md-3315.js +1 -1
- package/dist/chunks/md-3402.js +1 -1
- package/dist/chunks/md-3409.js +1 -1
- package/dist/chunks/md-3431.js +1 -0
- package/dist/chunks/md-3447.js +1 -1
- package/dist/chunks/md-3458.js +1 -1
- package/dist/chunks/md-3543.js +1 -1
- package/dist/chunks/md-3557.js +1 -1
- package/dist/chunks/md-3618.js +1 -1
- package/dist/chunks/md-364.js +1 -1
- package/dist/chunks/md-3666.js +1 -1
- package/dist/chunks/md-3712.js +1 -1
- package/dist/chunks/md-3732.js +1 -1
- package/dist/chunks/md-4058.js +1 -1
- package/dist/chunks/md-4108.js +1 -1
- package/dist/chunks/md-4113.js +1 -1
- package/dist/chunks/md-4125.js +1 -1
- package/dist/chunks/md-414.js +1 -1
- package/dist/chunks/md-4177.js +1 -1
- package/dist/chunks/md-4205.js +1 -1
- package/dist/chunks/md-431.js +1 -1
- package/dist/chunks/md-4321.js +1 -1
- package/dist/chunks/md-436.js +1 -1
- package/dist/chunks/md-4412.js +1 -1
- package/dist/chunks/md-4490.js +1 -1
- package/dist/chunks/md-4561.js +1 -1
- package/dist/chunks/md-4564.js +1 -1
- package/dist/chunks/md-4608.js +1 -1
- package/dist/chunks/md-4635.js +1 -1
- package/dist/chunks/md-4659.js +1 -1
- package/dist/chunks/md-4663.js +1 -1
- package/dist/chunks/md-4701.js +1 -1
- package/dist/chunks/md-4714.js +1 -1
- package/dist/chunks/md-4745.js +1 -1
- package/dist/chunks/md-4893.js +1 -1
- package/dist/chunks/md-4904.js +1 -1
- package/dist/chunks/md-5076.js +1 -1
- package/dist/chunks/md-5089.js +1 -1
- package/dist/chunks/md-5093.js +1 -1
- package/dist/chunks/md-5117.js +1 -1
- package/dist/chunks/md-5133.js +1 -1
- package/dist/chunks/md-5320.js +1 -1
- package/dist/chunks/md-5331.js +1 -1
- package/dist/chunks/md-5344.js +1 -1
- package/dist/chunks/md-5439.js +1 -1
- package/dist/chunks/md-5449.js +1 -1
- package/dist/chunks/md-5468.js +1 -1
- package/dist/chunks/md-5506.js +1 -1
- package/dist/chunks/md-5579.js +1 -1
- package/dist/chunks/md-5610.js +1 -1
- package/dist/chunks/md-5617.js +1 -1
- package/dist/chunks/md-5672.js +1 -1
- package/dist/chunks/md-5754.js +1 -1
- package/dist/chunks/md-5772.js +1 -1
- package/dist/chunks/md-5790.js +1 -1
- package/dist/chunks/md-581.js +1 -1
- package/dist/chunks/md-5814.js +1 -1
- package/dist/chunks/md-593.js +1 -1
- package/dist/chunks/md-5976.js +1 -1
- package/dist/chunks/md-6023.js +1 -1
- package/dist/chunks/md-6122.js +1 -1
- package/dist/chunks/md-6269.js +1 -1
- package/dist/chunks/md-634.js +1 -1
- package/dist/chunks/md-6384.js +1 -1
- package/dist/chunks/md-6414.js +1 -1
- package/dist/chunks/md-6427.js +1 -1
- package/dist/chunks/md-6478.js +1 -1
- package/dist/chunks/md-6503.js +1 -1
- package/dist/chunks/md-6571.js +1 -1
- package/dist/chunks/md-6617.js +1 -1
- package/dist/chunks/md-6685.js +1 -1
- package/dist/chunks/md-6756.js +1 -1
- package/dist/chunks/md-6809.js +1 -1
- package/dist/chunks/md-6891.js +1 -1
- package/dist/chunks/md-7015.js +1 -1
- package/dist/chunks/md-7040.js +1 -1
- package/dist/chunks/md-7053.js +1 -1
- package/dist/chunks/md-7192.js +1 -1
- package/dist/chunks/md-7204.js +1 -1
- package/dist/chunks/md-7220.js +1 -1
- package/dist/chunks/md-7246.js +1 -1
- package/dist/chunks/md-7285.js +1 -1
- package/dist/chunks/md-7292.js +1 -1
- package/dist/chunks/md-736.js +1 -1
- package/dist/chunks/md-7492.js +1 -1
- package/dist/chunks/md-7522.js +1 -1
- package/dist/chunks/md-7533.js +1 -1
- package/dist/chunks/md-7556.js +1 -1
- package/dist/chunks/md-7601.js +1 -1
- package/dist/chunks/md-7909.js +1 -1
- package/dist/chunks/md-7918.js +1 -1
- package/dist/chunks/md-8103.js +1 -1
- package/dist/chunks/md-8126.js +1 -1
- package/dist/chunks/md-8130.js +1 -1
- package/dist/chunks/md-8186.js +1 -1
- package/dist/chunks/md-8199.js +1 -1
- package/dist/chunks/md-8206.js +1 -1
- package/dist/chunks/md-8254.js +1 -1
- package/dist/chunks/md-8327.js +1 -1
- package/dist/chunks/md-8333.js +1 -1
- package/dist/chunks/md-8385.js +1 -1
- package/dist/chunks/md-8425.js +1 -1
- package/dist/chunks/md-8451.js +1 -1
- package/dist/chunks/md-8454.js +1 -1
- package/dist/chunks/md-8514.js +1 -1
- package/dist/chunks/md-8557.js +1 -1
- package/dist/chunks/md-8670.js +1 -1
- package/dist/chunks/md-8713.js +1 -1
- package/dist/chunks/md-8811.js +1 -1
- package/dist/chunks/md-8891.js +1 -1
- package/dist/chunks/md-9040.js +1 -1
- package/dist/chunks/md-9081.js +1 -1
- package/dist/chunks/md-9104.js +1 -1
- package/dist/chunks/md-9115.js +1 -1
- package/dist/chunks/md-9129.js +1 -1
- package/dist/chunks/md-9139.js +1 -1
- package/dist/chunks/md-9156.js +1 -1
- package/dist/chunks/md-9277.js +1 -1
- package/dist/chunks/md-9350.js +1 -1
- package/dist/chunks/md-9387.js +1 -1
- package/dist/chunks/md-9405.js +1 -1
- package/dist/chunks/md-9407.js +1 -1
- package/dist/chunks/md-9428.js +1 -1
- package/dist/chunks/md-9433.js +1 -1
- package/dist/chunks/md-9497.js +1 -1
- package/dist/chunks/md-9559.js +1 -1
- package/dist/chunks/md-959.js +1 -1
- package/dist/chunks/md-9614.js +1 -1
- package/dist/chunks/md-9688.js +1 -1
- package/dist/chunks/md-9689.js +1 -1
- package/dist/chunks/md-9721.js +1 -1
- package/dist/chunks/md-9789.js +1 -1
- package/dist/chunks/md-9927.js +1 -1
- package/dist/chunks/md-9934.js +1 -1
- package/dist/chunks/md-9975.js +1 -1
- package/dist/chunks/md-9980.js +1 -1
- package/dist/comp/md-accordion-entry.js +2 -2
- package/dist/comp/md-accordion-item-entry.js +2 -2
- package/dist/comp/md-accordion-item.js +1 -1
- package/dist/comp/md-accordion.js +1 -1
- package/dist/comp/md-activity-button-entry.js +2 -2
- package/dist/comp/md-activity-button.js +1 -1
- package/dist/comp/md-advance-list-entry.js +2 -2
- package/dist/comp/md-advance-list.js +1 -1
- package/dist/comp/md-alert-banner-entry.js +2 -2
- package/dist/comp/md-alert-banner.js +1 -1
- package/dist/comp/md-alert-entry.js +3 -3
- package/dist/comp/md-alert.js +1 -1
- package/dist/comp/md-audio-player-entry.js +2 -2
- package/dist/comp/md-audio-player.js +1 -1
- package/dist/comp/md-avatar-entry.js +2 -2
- package/dist/comp/md-avatar.js +1 -1
- package/dist/comp/md-badge-entry.js +2 -2
- package/dist/comp/md-badge.js +1 -1
- package/dist/comp/md-breadcrumb-entry.js +2 -2
- package/dist/comp/md-breadcrumb.js +1 -1
- package/dist/comp/md-button-entry.js +2 -2
- package/dist/comp/md-button-group-entry.js +2 -2
- package/dist/comp/md-button-group.js +1 -1
- package/dist/comp/md-button.js +1 -1
- package/dist/comp/md-card-ai-entry.js +2 -2
- package/dist/comp/md-card-ai.js +1 -1
- package/dist/comp/md-card-entry.js +29 -29
- package/dist/comp/md-card-v2-entry.js +8 -8
- package/dist/comp/md-card-v2.js +1 -1
- package/dist/comp/md-card.js +1 -1
- package/dist/comp/md-chat-message-entry.js +2 -2
- package/dist/comp/md-chat-message.js +1 -1
- package/dist/comp/md-checkbox-entry.js +2 -2
- package/dist/comp/md-checkbox.js +1 -1
- package/dist/comp/md-checkboxgroup-entry.js +2 -2
- package/dist/comp/md-checkboxgroup.js +1 -1
- package/dist/comp/md-chip-entry.js +22 -22
- package/dist/comp/md-chip.js +1 -1
- package/dist/comp/md-coachmark-entry.js +2 -2
- package/dist/comp/md-coachmark-popover-entry.js +18 -18
- package/dist/comp/md-coachmark-popover.js +1 -1
- package/dist/comp/md-coachmark.js +1 -1
- package/dist/comp/md-combobox-entry.js +2 -2
- package/dist/comp/md-combobox.js +1 -1
- package/dist/comp/md-composite-avatar-entry.js +2 -2
- package/dist/comp/md-composite-avatar.js +1 -1
- package/dist/comp/md-country-code-picker-entry.js +2 -2
- package/dist/comp/md-country-code-picker.js +1 -1
- package/dist/comp/md-date-range-picker-entry.js +1 -1
- package/dist/comp/md-date-range-picker.js +1 -1
- package/dist/comp/md-date-time-picker-entry.js +2 -2
- package/dist/comp/md-date-time-picker.js +1 -1
- package/dist/comp/md-datepicker-calendar-entry.js +2 -2
- package/dist/comp/md-datepicker-calendar.js +1 -1
- package/dist/comp/md-datepicker-day-entry.js +2 -2
- package/dist/comp/md-datepicker-day.js +1 -1
- package/dist/comp/md-datepicker-entry.js +2 -2
- package/dist/comp/md-datepicker-month-entry.js +2 -2
- package/dist/comp/md-datepicker-month.js +1 -1
- package/dist/comp/md-datepicker-week-entry.js +2 -2
- package/dist/comp/md-datepicker-week.js +1 -1
- package/dist/comp/md-datepicker.js +1 -1
- package/dist/comp/md-draggable-entry.js +2 -2
- package/dist/comp/md-draggable-item-entry.js +2 -2
- package/dist/comp/md-draggable-item.js +1 -1
- package/dist/comp/md-draggable.js +1 -1
- package/dist/comp/md-dropdown-entry.js +2 -2
- package/dist/comp/md-dropdown.js +1 -1
- package/dist/comp/md-editable-field-entry.js +16 -16
- package/dist/comp/md-editable-field.js +1 -1
- package/dist/comp/md-favorite-entry.js +2 -2
- package/dist/comp/md-favorite.js +1 -1
- package/dist/comp/md-floating-minimize-entry.js +2 -2
- package/dist/comp/md-floating-minimize.js +1 -1
- package/dist/comp/md-floating-modal-entry.js +42 -42
- package/dist/comp/md-floating-modal.js +1 -1
- package/dist/comp/md-form-entry.js +2 -2
- package/dist/comp/md-form.js +1 -1
- package/dist/comp/md-grabber-entry.js +2 -2
- package/dist/comp/md-grabber.js +1 -1
- package/dist/comp/md-help-text-entry.js +2 -2
- package/dist/comp/md-help-text.js +1 -1
- package/dist/comp/md-icon-entry.js +2 -2
- package/dist/comp/md-icon.js +1 -1
- package/dist/comp/md-inpu.js +1 -1
- package/dist/comp/md-input-entry.js +2 -2
- package/dist/comp/md-input-file.js +2 -2
- package/dist/comp/md-input.js +1 -1
- package/dist/comp/md-label-entry.js +2 -2
- package/dist/comp/md-label.js +1 -1
- package/dist/comp/md-link-entry.js +2 -2
- package/dist/comp/md-link.js +1 -1
- package/dist/comp/md-list-entry.js +2 -2
- package/dist/comp/md-list-item-entry.js +2 -2
- package/dist/comp/md-list-item.js +1 -1
- package/dist/comp/md-list.js +1 -1
- package/dist/comp/md-loading-entry.js +2 -2
- package/dist/comp/md-loading.js +1 -1
- package/dist/comp/md-meeting-alert-entry.js +2 -2
- package/dist/comp/md-meeting-alert.js +1 -1
- package/dist/comp/md-menu-entry.js +2 -2
- package/dist/comp/md-menu-item-entry.js +2 -2
- package/dist/comp/md-menu-item.js +1 -1
- package/dist/comp/md-menu-overlay-entry.js +2 -2
- package/dist/comp/md-menu-overlay.js +1 -1
- package/dist/comp/md-menu.js +1 -1
- package/dist/comp/md-modal-entry.js +2 -2
- package/dist/comp/md-modal.js +1 -1
- package/dist/comp/md-pagination-entry.js +2 -2
- package/dist/comp/md-pagination.js +1 -1
- package/dist/comp/md-phone-input-entry.js +2 -2
- package/dist/comp/md-phone-input.js +1 -1
- package/dist/comp/md-popover-entry.js +2 -2
- package/dist/comp/md-popover.js +1 -1
- package/dist/comp/md-presence-entry.js +2 -2
- package/dist/comp/md-presence.js +1 -1
- package/dist/comp/md-progress-bar-entry.js +2 -2
- package/dist/comp/md-progress-bar.js +1 -1
- package/dist/comp/md-radio-entry.js +2 -2
- package/dist/comp/md-radio.js +1 -1
- package/dist/comp/md-radiogroup-entry.js +2 -2
- package/dist/comp/md-radiogroup.js +1 -1
- package/dist/comp/md-slider-entry.js +2 -2
- package/dist/comp/md-slider.js +1 -1
- package/dist/comp/md-spinner-entry.js +1 -1
- package/dist/comp/md-spinner.js +1 -1
- package/dist/comp/md-tab-entry.js +2 -2
- package/dist/comp/md-tab-panel-entry.js +1 -1
- package/dist/comp/md-tab-panel.js +1 -1
- package/dist/comp/md-tab.js +1 -1
- package/dist/comp/md-table-advanced-entry.js +2 -2
- package/dist/comp/md-table-advanced.js +1 -1
- package/dist/comp/md-table-entry.js +2 -2
- package/dist/comp/md-table.js +1 -1
- package/dist/comp/md-tabs-entry.js +3 -3
- package/dist/comp/md-tabs.js +1 -1
- package/dist/comp/md-task-item-entry.js +34 -34
- package/dist/comp/md-task-item.js +1 -1
- package/dist/comp/md-theme-entry.js +2 -2
- package/dist/comp/md-theme.js +1 -1
- package/dist/comp/md-timepicker-entry.js +2 -2
- package/dist/comp/md-timepicker.js +1 -1
- package/dist/comp/md-toggle-switch-entry.js +2 -2
- package/dist/comp/md-toggle-switch.js +1 -1
- package/dist/comp/md-tooltip-entry.js +2 -2
- package/dist/comp/md-tooltip.js +1 -1
- package/dist/index-entry.js +2192 -2192
- package/dist/index.js +1 -1
- package/dist/managers/thememanager-entry.js +1 -1
- package/dist/types/components/card-v2/CardV2.d.ts +1 -0
- package/dist/types/components/form/Form.types.d.ts +6 -0
- package/dist/types/components/form/FormArray.d.ts +3 -1
- package/dist/types/components/form/FormControl.d.ts +5 -1
- package/dist/types/components/form/FormGroup.d.ts +3 -1
- package/dist/types/components/form/ObservableControl.d.ts +11 -0
- package/dist/types/components/form/index.d.ts +6 -0
- package/dist/types/components/icon/Icon.utils.d.ts +1 -2
- package/dist/types/components/input/Input.d.ts +2 -0
- package/dist/types/index.d.ts +1 -0
- package/package.json +2 -2
- package/dist/109.js +0 -11
- package/dist/1107.js +0 -1
- package/dist/1200.js +0 -26
- package/dist/1214.js +0 -1
- package/dist/1250.js +0 -1
- package/dist/1270.js +0 -1
- package/dist/1323.js +0 -26
- package/dist/1392.js +0 -1
- package/dist/1439.js +0 -1
- package/dist/144.js +0 -1
- package/dist/1465.js +0 -1
- package/dist/1572.js +0 -1
- package/dist/1598.js +0 -1
- package/dist/1625.js +0 -1
- package/dist/1705.js +0 -1
- package/dist/1869.js +0 -1
- package/dist/1890.js +0 -1
- package/dist/195.js +0 -1
- package/dist/2019.js +0 -1
- package/dist/2043.js +0 -1
- package/dist/2176.js +0 -1
- package/dist/2215.js +0 -1
- package/dist/2243.js +0 -1
- package/dist/2547.js +0 -218
- package/dist/2576.js +0 -1
- package/dist/2798.js +0 -1
- package/dist/2972.js +0 -1
- package/dist/2984.js +0 -1
- package/dist/300.js +0 -1
- package/dist/3001.js +0 -1
- package/dist/3112.js +0 -1
- package/dist/3135.js +0 -1
- package/dist/3192.js +0 -22
- package/dist/3477.js +0 -1
- package/dist/3553.js +0 -1
- package/dist/3604.js +0 -1
- package/dist/3625.js +0 -1
- package/dist/3663.js +0 -1
- package/dist/3820.js +0 -1
- package/dist/3866.js +0 -1
- package/dist/4006.js +0 -1
- package/dist/4288.js +0 -1
- package/dist/4392.js +0 -1
- package/dist/4548.js +0 -1
- package/dist/4624.js +0 -1
- package/dist/4974.js +0 -1
- package/dist/4977.js +0 -1
- package/dist/5211.js +0 -1
- package/dist/5382.js +0 -1
- package/dist/5433.js +0 -1
- package/dist/5467.js +0 -1
- package/dist/573.js +0 -1
- package/dist/5801.js +0 -22
- package/dist/5830.js +0 -1
- package/dist/587.js +0 -1
- package/dist/591.js +0 -1
- package/dist/6015.js +0 -1
- package/dist/6067.js +0 -1
- package/dist/6272.js +0 -1
- package/dist/6273.js +0 -76
- package/dist/6283.js +0 -1
- package/dist/630.js +0 -1
- package/dist/6325.js +0 -1
- package/dist/6366.js +0 -1
- package/dist/6396.js +0 -9
- package/dist/6400.js +0 -1
- package/dist/6408.js +0 -124
- package/dist/6441.js +0 -1
- package/dist/6652.js +0 -47
- package/dist/6677.js +0 -1
- package/dist/6700.js +0 -1
- package/dist/671.js +0 -1
- package/dist/6754.js +0 -17
- package/dist/6795.js +0 -1
- package/dist/6798.js +0 -77
- package/dist/6877.js +0 -1
- package/dist/6953.js +0 -1
- package/dist/6979.js +0 -1
- package/dist/7092.js +0 -1
- package/dist/7119.js +0 -18
- package/dist/7251.js +0 -1
- package/dist/7330.js +0 -1
- package/dist/754.js +0 -1
- package/dist/76.js +0 -1
- package/dist/768.js +0 -1
- package/dist/7719.js +0 -1
- package/dist/7763.js +0 -1
- package/dist/779.js +0 -1
- package/dist/7814.js +0 -8
- package/dist/7833.js +0 -1
- package/dist/7875.js +0 -1
- package/dist/7919.js +0 -1
- package/dist/7997.js +0 -1
- package/dist/8004.js +0 -1
- package/dist/8028.js +0 -64
- package/dist/8171.js +0 -16
- package/dist/8210.js +0 -1
- package/dist/8373.js +0 -1
- package/dist/8387.js +0 -1
- package/dist/8521.js +0 -1
- package/dist/8612.js +0 -59
- package/dist/8728.js +0 -1
- package/dist/8841.js +0 -1
- package/dist/8889.js +0 -1
- package/dist/8923.js +0 -1
- package/dist/9058.js +0 -1
- package/dist/9130.js +0 -1
- package/dist/9164.js +0 -1
- package/dist/9217.js +0 -1
- package/dist/9618.js +0 -1
- package/dist/9719.js +0 -1
- package/dist/984.js +0 -8
- package/dist/chunks/md-5812.js +0 -1
package/dist/chunks/md-7292.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7292],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7292],{7292:e=>{e.exports=function(e){return{name:"HAML",case_insensitive:!0,contains:[{className:"meta",begin:"^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",relevance:10},e.COMMENT("^\\s*(!=#|=#|-#|/).*$",!1,{relevance:0}),{begin:"^\\s*(-|=|!=)(?!#)",starts:{end:"\\n",subLanguage:"ruby"}},{className:"tag",begin:"^\\s*%",contains:[{className:"selector-tag",begin:"\\w+"},{className:"selector-id",begin:"#[\\w-]+"},{className:"selector-class",begin:"\\.[\\w-]+"},{begin:/\{\s*/,end:/\s*\}/,contains:[{begin:":\\w+\\s*=>",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:/#\{/,starts:{end:/\}/,subLanguage:"ruby"}}]}}}}]);
|
package/dist/chunks/md-736.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[736],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[736],{736:n=>{n.exports=function(n){return{aliases:["pycon"],contains:[{className:"meta",starts:{end:/ |$/,starts:{end:"$",subLanguage:"python"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}}}]);
|
package/dist/chunks/md-7492.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7492],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7492],{7492:e=>{e.exports=function(e){const t="\\d(_|\\d)*",n="[eE][-+]?"+t,r="\\b("+t+"#\\w+(\\.\\w+)?#("+n+")?|"+t+"(\\."+t+")?("+n+")?)";return{name:"VHDL",case_insensitive:!0,keywords:{keyword:"abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable view vmode vprop vunit wait when while with xnor xor",built_in:"boolean bit character integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_logic std_logic_vector unsigned signed boolean_vector integer_vector std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed real_vector time_vector",literal:"false true note warning error failure line text side width"},illegal:/\{/,contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT("--","$"),e.QUOTE_STRING_MODE,{className:"number",begin:r,relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[e.BACKSLASH_ESCAPE]}]}}}}]);
|
package/dist/chunks/md-7522.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7522],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7522],{7522:e=>{e.exports=function(e){return{name:"Verilog",aliases:["v","sv","svh"],case_insensitive:!1,keywords:{$pattern:/[\w\$]+/,keyword:"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor",literal:"null",built_in:"$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale $bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat $realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson $assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff $assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk $fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control $coverage_get $coverage_save $set_coverage_db_name $rose $stable $past $rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display $coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename $unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow $floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning $dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh $tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array $async$nand$array $async$or$array $async$nor$array $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system $display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo $write $readmemb $readmemh $writememh $value$plusargs $dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit $writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb $dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall $dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh $swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb $fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat $sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror"},contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"number",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"\\b((\\d+'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\B(('(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\b([0-9_])+",relevance:0}]},{className:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{className:"meta",begin:"`",end:"$",keywords:{"meta-keyword":"define __FILE__ __LINE__ begin_keywords celldefine default_nettype define else elsif end_keywords endcelldefine endif ifdef ifndef include line nounconnected_drive pragma resetall timescale unconnected_drive undef undefineall"},relevance:0}]}}}}]);
|
package/dist/chunks/md-7533.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7533],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7533],{7533:e=>{e.exports=function(e){var n="true false yes no null",a="[\\w#;/?:@&=+$,.~*'()[\\]]+",s={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[e.BACKSLASH_ESCAPE,{className:"template-variable",variants:[{begin:/\{\{/,end:/\}\}/},{begin:/%\{/,end:/\}/}]}]},i=e.inherit(s,{variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/[^\s,{}[\]]+/}]}),l={end:",",endsWithParent:!0,excludeEnd:!0,keywords:n,relevance:0},t={begin:/\{/,end:/\}/,contains:[l],illegal:"\\n",relevance:0},b={begin:"\\[",end:"\\]",contains:[l],illegal:"\\n",relevance:0},c=[{className:"attr",variants:[{begin:"\\w[\\w :\\/.-]*:(?=[ \t]|$)"},{begin:'"\\w[\\w :\\/.-]*":(?=[ \t]|$)'},{begin:"'\\w[\\w :\\/.-]*':(?=[ \t]|$)"}]},{className:"meta",begin:"^---\\s*$",relevance:10},{className:"string",begin:"[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!\\w+!"+a},{className:"type",begin:"!<"+a+">"},{className:"type",begin:"!"+a},{className:"type",begin:"!!"+a},{className:"meta",begin:"&"+e.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+e.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"-(?=[ ]|$)",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:n,keywords:{literal:n}},{className:"number",begin:"\\b[0-9]{4}(-[0-9][0-9]){0,2}([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?(\\.[0-9]*)?([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?\\b"},{className:"number",begin:e.C_NUMBER_RE+"\\b",relevance:0},t,b,s],g=[...c];return g.pop(),g.push(i),l.contains=g,{name:"YAML",case_insensitive:!0,aliases:["yml"],contains:c}}}}]);
|
package/dist/chunks/md-7556.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7556],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7556],{7556:e=>{e.exports=function(e){const t={variants:[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#cs","#ce"),e.COMMENT("#comments-start","#comments-end")]},r={begin:"\\$[A-z0-9_]+"},i={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},n={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{name:"AutoIt",case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:"ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",built_in:"Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive",literal:"True False And Null Not Or Default"},contains:[t,r,i,n,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":["EndRegion","forcedef","forceref","ignorefunc","include","include-once","NoTrayIcon","OnAutoItStartRegister","pragma","Region","RequireAdmin","Tidy_Off","Tidy_On","Tidy_Parameters"]},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{"meta-keyword":"include"},end:"$",contains:[i,{className:"meta-string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},i,t]},{className:"symbol",begin:"@[A-z0-9_]+"},{className:"function",beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[r,i,n]}]}]}}}}]);
|
package/dist/chunks/md-7601.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7601],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7601],{7601:e=>{e.exports=function(e){var r="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",t={$pattern:r,keyword:["baremodule","begin","break","catch","ccall","const","continue","do","else","elseif","end","export","false","finally","for","function","global","if","import","in","isa","let","local","macro","module","quote","return","true","try","using","where","while"],literal:["ARGS","C_NULL","DEPOT_PATH","ENDIAN_BOM","ENV","Inf","Inf16","Inf32","Inf64","InsertionSort","LOAD_PATH","MergeSort","NaN","NaN16","NaN32","NaN64","PROGRAM_FILE","QuickSort","RoundDown","RoundFromZero","RoundNearest","RoundNearestTiesAway","RoundNearestTiesUp","RoundToZero","RoundUp","VERSION|0","devnull","false","im","missing","nothing","pi","stderr","stdin","stdout","true","undef","π","ℯ"],built_in:["AbstractArray","AbstractChannel","AbstractChar","AbstractDict","AbstractDisplay","AbstractFloat","AbstractIrrational","AbstractMatrix","AbstractRange","AbstractSet","AbstractString","AbstractUnitRange","AbstractVecOrMat","AbstractVector","Any","ArgumentError","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError","LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","ProcessFailedException","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","TaskFailedException","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","UndefKeywordError","UndefRefError","UndefVarError","Union","UnionAll","UnitRange","Unsigned","Val","Vararg","VecElement","VecOrMat","Vector","VersionNumber","WeakKeyDict","WeakRef"]},n={keywords:t,illegal:/<\//},a={className:"subst",begin:/\$\(/,end:/\)/,keywords:t},i={className:"variable",begin:"\\$"+r},o={className:"string",contains:[e.BACKSLASH_ESCAPE,a,i],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},s={className:"string",contains:[e.BACKSLASH_ESCAPE,a,i],begin:"`",end:"`"},l={className:"meta",begin:"@"+r};return n.name="Julia",n.contains=[{className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},{className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},o,s,l,{className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]},e.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],a.contains=n.contains,n}}}]);
|
package/dist/chunks/md-7909.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7909],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7909],{7909:e=>{e.exports=function(e){return{name:"X++",aliases:["x++"],keywords:{keyword:["abstract","as","asc","avg","break","breakpoint","by","byref","case","catch","changecompany","class","client","client","common","const","continue","count","crosscompany","delegate","delete_from","desc","display","div","do","edit","else","eventhandler","exists","extends","final","finally","firstfast","firstonly","firstonly1","firstonly10","firstonly100","firstonly1000","flush","for","forceliterals","forcenestedloop","forceplaceholders","forceselectorder","forupdate","from","generateonly","group","hint","if","implements","in","index","insert_recordset","interface","internal","is","join","like","maxof","minof","mod","namespace","new","next","nofetch","notexists","optimisticlock","order","outer","pessimisticlock","print","private","protected","public","readonly","repeatableread","retry","return","reverse","select","server","setting","static","sum","super","switch","this","throw","try","ttsabort","ttsbegin","ttscommit","unchecked","update_recordset","using","validtimestate","void","where","while"],built_in:["anytype","boolean","byte","char","container","date","double","enum","guid","int","int64","long","real","short","str","utcdatetime","var"],literal:["default","false","null","true"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,illegal:":",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]}]}}}}]);
|
package/dist/chunks/md-7918.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7918],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[7918],{7918:e=>{e.exports=function(e){return{name:"Shell Session",aliases:["console"],contains:[{className:"meta",begin:/^\s{0,3}[/~\w\d[\]()@-]*[>%$#]/,starts:{end:/[^\\](?=\s*$)/,subLanguage:"bash"}}]}}}}]);
|
package/dist/chunks/md-8103.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8103],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8103],{8103:n=>{n.exports=function(n){return{name:"VBScript in HTML",subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}}}]);
|
package/dist/chunks/md-8126.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8126],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8126],{8126:e=>{function n(...e){return e.map((e=>{return(n=e)?"string"==typeof n?n:n.source:null;var n})).join("")}e.exports=function(e){const a={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na",built_in:"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},i={className:"symbol",variants:[{begin:/=[lgenxc]=/},{begin:/\$/}]},o={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},s={begin:"/",end:"/",keywords:a,contains:[o,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},t=/[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/,r={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[o,s,{className:"comment",begin:n(t,(l=n(/[ ]+/,t),n("(",l,")*"))),relevance:0}]};var l;return{name:"GAMS",aliases:["gms"],case_insensitive:!0,keywords:a,contains:[e.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"meta-keyword",begin:"^\\$[a-z0-9]+"}]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,s,r]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[r]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},i]},e.C_NUMBER_MODE,i]}}}}]);
|
package/dist/chunks/md-8130.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8130],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8130],{8130:e=>{e.exports=function(e){return{name:"XQuery",aliases:["xpath","xq"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:"module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit declare import option function validate variable for at in let where order group by return if then else tumbling sliding window start when only end previous next stable ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch and or to union intersect instance of treat as castable cast map array delete insert into replace value rename copy modify update",type:"item document-node node attribute document element comment namespace namespace-node processing-instruction text construction xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration",literal:"eq ne lt le gt ge is self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: NaN"},contains:[{className:"variable",begin:/[$][\w\-:]+/},{className:"built_in",variants:[{begin:/\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop:/,end:/\(/,excludeEnd:!0},{begin:/\bfn:/,end:/\(/,excludeEnd:!0},{begin:/[^</$:'"-]\b(?:abs|accumulator-(?:after|before)|adjust-(?:date(?:Time)?|time)-to-timezone|analyze-string|apply|available-(?:environment-variables|system-properties)|avg|base-uri|boolean|ceiling|codepoints?-(?:equal|to-string)|collation-key|collection|compare|concat|contains(?:-token)?|copy-of|count|current(?:-)?(?:date(?:Time)?|time|group(?:ing-key)?|output-uri|merge-(?:group|key))?data|dateTime|days?-from-(?:date(?:Time)?|duration)|deep-equal|default-(?:collation|language)|distinct-values|document(?:-uri)?|doc(?:-available)?|element-(?:available|with-id)|empty|encode-for-uri|ends-with|environment-variable|error|escape-html-uri|exactly-one|exists|false|filter|floor|fold-(?:left|right)|for-each(?:-pair)?|format-(?:date(?:Time)?|time|integer|number)|function-(?:arity|available|lookup|name)|generate-id|has-children|head|hours-from-(?:dateTime|duration|time)|id(?:ref)?|implicit-timezone|in-scope-prefixes|index-of|innermost|insert-before|iri-to-uri|json-(?:doc|to-xml)|key|lang|last|load-xquery-module|local-name(?:-from-QName)?|(?:lower|upper)-case|matches|max|minutes-from-(?:dateTime|duration|time)|min|months?-from-(?:date(?:Time)?|duration)|name(?:space-uri-?(?:for-prefix|from-QName)?)?|nilled|node-name|normalize-(?:space|unicode)|not|number|one-or-more|outermost|parse-(?:ietf-date|json)|path|position|(?:prefix-from-)?QName|random-number-generator|regex-group|remove|replace|resolve-(?:QName|uri)|reverse|root|round(?:-half-to-even)?|seconds-from-(?:dateTime|duration|time)|snapshot|sort|starts-with|static-base-uri|stream-available|string-?(?:join|length|to-codepoints)?|subsequence|substring-?(?:after|before)?|sum|system-property|tail|timezone-from-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type-available|unordered|unparsed-(?:entity|text)?-?(?:public-id|uri|available|lines)?|uri-collection|xml-to-json|years?-from-(?:date(?:Time)?|duration)|zero-or-one)\b/},{begin:/\blocal:/,end:/\(/,excludeEnd:!0},{begin:/\bzip:/,end:/(?:zip-file|(?:xml|html|text|binary)-entry| (?:update-)?entries)\b/},{begin:/\b(?:util|db|functx|app|xdmp|xmldb):/,end:/\(/,excludeEnd:!0}]},{className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:"number",begin:/(\b0[0-7_]+)|(\b0x[0-9a-fA-F_]+)|(\b[1-9][0-9_]*(\.[0-9_]+)?)|[0_]\b/,relevance:0},{className:"comment",begin:/\(:/,end:/:\)/,relevance:10,contains:[{className:"doctag",begin:/@\w+/}]},{className:"meta",begin:/%[\w\-:]+/},{className:"title",begin:/\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,end:/;/},{beginKeywords:"element attribute comment document processing-instruction",end:/\{/,excludeEnd:!0},{begin:/<([\w._:-]+)(\s+\S*=('|").*('|"))?>/,end:/(\/[\w._:-]+>)/,subLanguage:"xml",contains:[{begin:/\{/,end:/\}/,subLanguage:"xquery"},"self"]}]}}}}]);
|
package/dist/chunks/md-8186.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8186],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8186],{8186:e=>{e.exports=function(e){return{name:"Leaf",contains:[{className:"function",begin:"#+[A-Za-z_0-9]*\\(",end:/ \{/,returnBegin:!0,excludeEnd:!0,contains:[{className:"keyword",begin:"#+"},{className:"title",begin:"[A-Za-z_][A-Za-z_0-9]*"},{className:"params",begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"string",begin:'"',end:'"'},{className:"variable",begin:"[A-Za-z_][A-Za-z_0-9]*"}]}]}]}}}}]);
|
package/dist/chunks/md-8199.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8199],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8199],{8199:e=>{e.exports=function(e){return{name:"Tagger Script",contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\(/,end:/\)/,contains:["self",{begin:/\\./}]}],relevance:10},{className:"keyword",begin:/\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,end:/\(/,excludeEnd:!0},{className:"variable",begin:/%[_a-zA-Z0-9:]*/,end:"%"},{className:"symbol",begin:/\\./}]}}}}]);
|
package/dist/chunks/md-8206.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8206],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8206],{8206:e=>{e.exports=function(e){const n="[A-Za-z_][0-9A-Za-z_]*",t={keyword:"if for while var new function do return void else break",literal:"BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined",built_in:"Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance Weekday When Within Year "},a={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},i={className:"subst",begin:"\\$\\{",end:"\\}",keywords:t,contains:[]},r={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,i]};i.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,a,e.REGEXP_MODE];const o=i.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{name:"ArcGIS Arcade",keywords:t,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"symbol",begin:"\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+"},a,{begin:/[{,]\s*/,relevance:0,contains:[{begin:n+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:n,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+n+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:n},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:t,contains:o}]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:n}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:o}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}}}]);
|
package/dist/chunks/md-8254.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8254],{68254:e=>{e.exports=function(e){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:/[$%@](\^\w\b|#\w+|[^\s\w{]|\{\w+\}|\w+)/},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8254],{8254:e=>{e.exports=function(e){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:/[$%@](\^\w\b|#\w+|[^\s\w{]|\{\w+\}|\w+)/},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
|
package/dist/chunks/md-8327.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8327],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8327],{8327:e=>{e.exports=function(e){const n={$pattern:/\.?\w+/,keyword:"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"},t=e.COMMENT(/\{/,/\}/,{relevance:0}),a=e.COMMENT("\\(\\*","\\*\\)",{relevance:10}),r={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},s={className:"string",begin:"(#\\d+)+"},o={className:"function",beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",keywords:n,contains:[r,s]},t,a]};return{name:"Oxygene",case_insensitive:!0,keywords:n,illegal:'("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',contains:[t,a,e.C_LINE_COMMENT_MODE,r,s,e.NUMBER_MODE,o,{className:"class",begin:"=\\bclass\\b",end:"end;",keywords:n,contains:[r,s,t,a,e.C_LINE_COMMENT_MODE,o]}]}}}}]);
|
package/dist/chunks/md-8333.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8333],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8333],{8333:e=>{e.exports=function(e){return{name:"Clean",aliases:["icl","dcl"],keywords:{keyword:"if let in with where case of class instance otherwise implementation definition system module from import qualified as special code inline foreign export ccall stdcall generic derive infix infixl infixr",built_in:"Int Real Char Bool",literal:"True False"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}}}]);
|
package/dist/chunks/md-8385.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8385],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8385],{8385:T=>{T.exports=function(T){return{name:"Oracle Rules Language",keywords:{keyword:"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",built_in:"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"},contains:[T.C_LINE_COMMENT_MODE,T.C_BLOCK_COMMENT_MODE,T.APOS_STRING_MODE,T.QUOTE_STRING_MODE,T.C_NUMBER_MODE,{className:"literal",variants:[{begin:"#\\s+",relevance:0},{begin:"#[a-zA-Z .]+"}]}]}}}}]);
|
package/dist/chunks/md-8425.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8425],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8425],{8425:e=>{e.exports=function(e){const n={keyword:["abstract","as","base","break","case","class","const","continue","do","else","event","explicit","extern","finally","fixed","for","foreach","goto","if","implicit","in","interface","internal","is","lock","namespace","new","operator","out","override","params","private","protected","public","readonly","record","ref","return","sealed","sizeof","stackalloc","static","struct","switch","this","throw","try","typeof","unchecked","unsafe","using","virtual","void","volatile","while"].concat(["add","alias","and","ascending","async","await","by","descending","equals","from","get","global","group","init","into","join","let","nameof","not","notnull","on","or","orderby","partial","remove","select","set","unmanaged","value|0","var","when","where","with","yield"]),built_in:["bool","byte","char","decimal","delegate","double","dynamic","enum","float","int","long","nint","nuint","object","sbyte","short","string","ulong","uint","ushort"],literal:["default","false","null","true"]},i=e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),a={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},s={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},t=e.inherit(s,{illegal:/\n/}),l={className:"subst",begin:/\{/,end:/\}/,keywords:n},r=e.inherit(l,{illegal:/\n/}),c={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},e.BACKSLASH_ESCAPE,r]},o={className:"string",begin:/\$@"/,end:'"',contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},l]},d=e.inherit(o,{illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},r]});l.contains=[o,c,s,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,a,e.C_BLOCK_COMMENT_MODE],r.contains=[d,c,t,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,a,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];const g={variants:[o,c,s,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},E={begin:"<",end:">",contains:[{beginKeywords:"in out"},i]},_=e.IDENT_RE+"(<"+e.IDENT_RE+"(\\s*,\\s*"+e.IDENT_RE+")*>)?(\\[\\])?",b={begin:"@"+e.IDENT_RE,relevance:0};return{name:"C#",aliases:["cs","c#"],keywords:n,illegal:/::/,contains:[e.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:"\x3c!--|--\x3e"},{begin:"</?",end:">"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elif endif define undef warning error line region endregion pragma checksum"}},g,a,{beginKeywords:"class interface",relevance:0,end:/[{;=]/,illegal:/[^\s:,]/,contains:[{beginKeywords:"where class"},i,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[i,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"record",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[i,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"meta-string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+_+"\\s+)+"+e.IDENT_RE+"\\s*(<.+>\\s*)?\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:n,contains:[{beginKeywords:["public","private","protected","static","internal","protected","abstract","async","extern","override","unsafe","virtual","new","sealed","partial"].join(" "),relevance:0},{begin:e.IDENT_RE+"\\s*(<.+>\\s*)?\\(",returnBegin:!0,contains:[e.TITLE_MODE,E],relevance:0},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,relevance:0,contains:[g,a,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},b]}}}}]);
|
package/dist/chunks/md-8451.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8451],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8451],{8451:E=>{E.exports=function(E){return{name:"Excel formulae",aliases:["xlsx","xls"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\w\.]*/,built_in:"ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST"},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},E.BACKSLASH_ESCAPE,E.QUOTE_STRING_MODE,{className:"number",begin:E.NUMBER_RE+"(%)?",relevance:0},E.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}}}]);
|
package/dist/chunks/md-8454.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8454],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8454],{8454:e=>{e.exports=function(e){const O={className:"number",begin:"[1-9][0-9]*",relevance:0},R={className:"symbol",begin:":[^\\]]+"};return{name:"TP",keywords:{keyword:"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET PROG ATTR MN POS",literal:"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET"},contains:[{className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",O,R]},{className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",O,e.QUOTE_STRING_MODE,R]},{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},e.COMMENT("//","[;$]"),e.COMMENT("!","[;$]"),e.COMMENT("--eg:","$"),e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},e.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}}}]);
|
package/dist/chunks/md-8514.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8514],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8514],{8514:e=>{function n(e){return e?"string"==typeof e?e:e.source:null}function a(...e){return e.map((e=>n(e))).join("")}e.exports=function(e){const t={"builtin-name":["action","bindattr","collection","component","concat","debugger","each","each-in","get","hash","if","in","input","link-to","loc","log","lookup","mut","outlet","partial","query-params","render","template","textarea","unbound","unless","view","with","yield"]},s=/\[\]|\[[^\]]+\]/,i=/[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/,l=function(...e){return"("+e.map((e=>n(e))).join("|")+")"}(/""|"[^"]+"/,/''|'[^']+'/,s,i),r=a(a("(",/\.|\.\/|\//,")?"),l,(p=a(/(\.|\/)/,l),a("(",p,")*"))),o=a("(",s,"|",i,")(?==)"),m={begin:r,lexemes:/[\w.\/]+/},c=e.inherit(m,{keywords:{literal:["true","false","undefined","null"]}}),d={begin:/\(/,end:/\)/},u={className:"attr",begin:o,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,c,d]}}},b={contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{begin:/as\s+\|/,keywords:{keyword:"as"},end:/\|/,contains:[{begin:/\w+/}]},u,c,d],returnEnd:!0},g=e.inherit(m,{className:"name",keywords:t,starts:e.inherit(b,{end:/\)/})});var p;d.contains=[g];const N=e.inherit(m,{keywords:t,className:"name",starts:e.inherit(b,{end:/\}\}/})}),h=e.inherit(m,{keywords:t,className:"name"}),w=e.inherit(m,{className:"name",keywords:t,starts:e.inherit(b,{end:/\}\}/})});return{name:"Handlebars",aliases:["hbs","html.hbs","html.handlebars","htmlbars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},e.COMMENT(/\{\{!--/,/--\}\}/),e.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[N],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[h]},{className:"template-tag",begin:/\{\{#/,end:/\}\}/,contains:[N]},{className:"template-tag",begin:/\{\{(?=else\}\})/,end:/\}\}/,keywords:"else"},{className:"template-tag",begin:/\{\{(?=else if)/,end:/\}\}/,keywords:"else if"},{className:"template-tag",begin:/\{\{\//,end:/\}\}/,contains:[h]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,contains:[w]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[w]}]}}}}]);
|
package/dist/chunks/md-8557.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8557],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8557],{8557:e=>{e.exports=function(e){const i=e.COMMENT("%","$"),n=e.inherit(e.APOS_STRING_MODE,{relevance:0}),t=e.inherit(e.QUOTE_STRING_MODE,{relevance:0});return t.contains=t.contains.slice(),t.contains.push({className:"subst",begin:"\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",relevance:0}),{name:"Mercury",aliases:["m","moo"],keywords:{keyword:"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",meta:"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing",built_in:"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"},contains:[{className:"built_in",variants:[{begin:"<=>"},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|--\x3e"},{begin:"=",relevance:0}]},i,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:"0'.\\|0[box][0-9a-fA-F]*"},e.NUMBER_MODE,n,t,{begin:/:-/},{begin:/\.$/}]}}}}]);
|
package/dist/chunks/md-8670.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8670],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8670],{8670:e=>{e.exports=function(e){return{name:"Haxe",aliases:["hx"],keywords:{keyword:"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"},{className:"subst",begin:"\\$",end:/\W\}/}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@:",end:"$"},{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elseif end error"}},{className:"type",begin:":[ \t]*",end:"[^A-Za-z0-9_ \t\\->]",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:":[ \t]*",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"new *",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"class",beginKeywords:"enum",end:"\\{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"abstract",end:"[\\{$]",contains:[{className:"type",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"from +",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"to +",end:"\\W",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"class",begin:"\\b(class|interface) +",end:"[\\{$]",excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:"\\b(extends|implements) +",keywords:"extends implements",contains:[{className:"type",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:"function",beginKeywords:"function",end:"\\(",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE]}],illegal:/<\//}}}}]);
|
package/dist/chunks/md-8713.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8713],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8713],{8713:e=>{e.exports=function(e){return{name:"Test Anything Protocol",case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:/---$/,end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}}}]);
|
package/dist/chunks/md-8811.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8811],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8811],{8811:e=>{e.exports=function(e){const n={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},t={className:"subst",variants:[{begin:/\$\{/,end:/\}/}],keywords:"true false null this is new super"},a={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"""',end:'"""',contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]}]};t.contains=[e.C_NUMBER_MODE,a];const i=["Comparable","DateTime","Duration","Function","Iterable","Iterator","List","Map","Match","Object","Pattern","RegExp","Set","Stopwatch","String","StringBuffer","StringSink","Symbol","Type","Uri","bool","double","int","num","Element","ElementList"],s=i.map((e=>`${e}?`));return{name:"Dart",keywords:{keyword:"abstract as assert async await break case catch class const continue covariant default deferred do dynamic else enum export extends extension external factory false final finally for Function get hide if implements import in inferface is late library mixin new null on operator part required rethrow return set show static super switch sync this throw true try typedef var void while with yield",built_in:i.concat(s).concat(["Never","Null","dynamic","print","document","querySelector","querySelectorAll","window"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\??/},contains:[a,e.COMMENT(/\/\*\*(?!\/)/,/\*\//,{subLanguage:"markdown",relevance:0}),e.COMMENT(/\/{3,} ?/,/$/,{contains:[{subLanguage:"markdown",begin:".",end:"$",relevance:0}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}}}]);
|
package/dist/chunks/md-8891.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8891],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[8891],{8891:e=>{e.exports=function(e){return{aliases:["nim"],keywords:{keyword:"addr and as asm bind block break case cast const continue converter discard distinct div do elif else end enum except export finally for from generic if import in include interface is isnot iterator let macro method mixin mod nil not notin object of or out proc ptr raise ref return shl shr static template try tuple type using var when while with without xor yield",literal:"shared guarded stdin stdout stderr result true false",built_in:"int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float float32 float64 bool char string cstring pointer expr stmt void auto any range array openarray varargs seq set clong culong cchar cschar cshort cint csize clonglong cfloat cdouble clongdouble cuchar cushort cuint culonglong cstringarray semistatic"},contains:[{className:"meta",begin:/{\./,end:/\.}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},e.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}}}]);
|
package/dist/chunks/md-9040.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[9040],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[9040],{9040:e=>{e.exports=function(e){return{name:"JBoss CLI",aliases:["wildfly-cli"],keywords:{$pattern:"[a-z-]+",keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"params",begin:/--[\w\-=\/]+/},{className:"function",begin:/:[\w\-.]+/,relevance:0},{className:"string",begin:/\B([\/.])[\w\-.\/=]+/},{className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0}]}}}}]);
|
package/dist/chunks/md-9081.js
CHANGED
|
@@ -1 +1 @@
|
|
|
1
|
-
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[9081],{
|
|
1
|
+
(self["momentum-web-components-[id]"]=self["momentum-web-components-[id]"]||[]).push([[9081],{9081:e=>{e.exports=function(e){return{name:"Coq",keywords:{keyword:"_|0 as at cofix else end exists exists2 fix for forall fun if IF in let match mod Prop return Set then Type using where with Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture Conjectures Constant constr Constraint Constructors Context Corollary CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent Derive Drop eauto End Equality Eval Example Existential Existentials Existing Export exporting Extern Extract Extraction Fact Field Fields File Fixpoint Focus for From Function Functional Generalizable Global Goal Grab Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident Identity If Immediate Implicit Import Include Inductive Infix Info Initial Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation Obligations Opaque Open Optimize Options Parameter Parameters Parametric Path Paths pattern Polymorphic Preterm Print Printing Program Projections Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused Unfold Universe Universes Unset Unshelve using Variable Variables Variant Verbose Visibility where with",built_in:"abstract absurd admit after apply as assert assumption at auto autorewrite autounfold before bottom btauto by case case_eq cbn cbv change classical_left classical_right clear clearbody cofix compare compute congruence constr_eq constructor contradict contradiction cut cutrewrite cycle decide decompose dependent destruct destruction dintuition discriminate discrR do double dtauto eapply eassumption eauto ecase econstructor edestruct ediscriminate eelim eexact eexists einduction einjection eleft elim elimtype enough equality erewrite eright esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail field field_simplify field_simplify_eq first firstorder fix fold fourier functional generalize generalizing gfail give_up has_evar hnf idtac in induction injection instantiate intro intro_pattern intros intuition inversion inversion_clear is_evar is_var lapply lazy left lia lra move native_compute nia nsatz omega once pattern pose progress proof psatz quote record red refine reflexivity remember rename repeat replace revert revgoals rewrite rewrite_strat right ring ring_simplify rtauto set setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve specialize split split_Rabs split_Rmult stepl stepr subst sum swap symmetry tactic tauto time timeout top transitivity trivial try tryif unfold unify until using vm_compute with"},contains:[e.QUOTE_STRING_MODE,e.COMMENT("\\(\\*","\\*\\)"),e.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}}}]);
|