@kong-ui-public/monaco-editor 0.3.0 → 0.3.1-pr.2622.ca1f578c8.0

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Files changed (112) hide show
  1. package/package.json +1 -1
  2. package/dist/abap-CrvW7_qN.js +0 -1398
  3. package/dist/apex-BAOI8p1v.js +0 -327
  4. package/dist/assets/css.worker-Cb0JI69t.js +0 -93
  5. package/dist/assets/editor.worker-DzMH9hFo.js +0 -26
  6. package/dist/assets/html.worker-BNFZMwEc.js +0 -470
  7. package/dist/assets/json.worker-BCybXpia.js +0 -58
  8. package/dist/assets/ts.worker-DAVh1bw1.js +0 -67731
  9. package/dist/azcli-BlCk_UqW.js +0 -68
  10. package/dist/bat-DPoEelVx.js +0 -100
  11. package/dist/bicep-Cp1F394l.js +0 -102
  12. package/dist/cameligo-CWqtSlQs.js +0 -174
  13. package/dist/clojure-CKBp32Gf.js +0 -761
  14. package/dist/coffee-CDo6vc-J.js +0 -232
  15. package/dist/cpp-DokufPgf.js +0 -389
  16. package/dist/csharp-BQi3szM0.js +0 -326
  17. package/dist/csp-BSGtCCZ-.js +0 -53
  18. package/dist/css-DEPFDJfb.js +0 -187
  19. package/dist/cssMode-BoaW_8EY.js +0 -141
  20. package/dist/cypher-DAb1vOxS.js +0 -263
  21. package/dist/dart-C8p4jewR.js +0 -281
  22. package/dist/dockerfile-DP0iLFPN.js +0 -130
  23. package/dist/ecl-BDQHA6fi.js +0 -456
  24. package/dist/elixir-D4II6kDM.js +0 -569
  25. package/dist/flow9-StcnL-VB.js +0 -142
  26. package/dist/freemarker2-DLxAEbra.js +0 -982
  27. package/dist/fsharp-DdUVp3EN.js +0 -217
  28. package/dist/go-D1-I258M.js +0 -218
  29. package/dist/graphql-DWkL3f8U.js +0 -151
  30. package/dist/handlebars-H1fAc7pY.js +0 -412
  31. package/dist/hcl-D_0MNifv.js +0 -183
  32. package/dist/html-Bjh5RSoV.js +0 -301
  33. package/dist/htmlMode-XE4-FvOp.js +0 -152
  34. package/dist/index-BtAApC8Z.js +0 -129109
  35. package/dist/ini-C4I2-MT7.js +0 -71
  36. package/dist/java-OBvaP_SS.js +0 -232
  37. package/dist/javascript-BL-y8a3N.js +0 -70
  38. package/dist/jsonMode-BO164Oml.js +0 -569
  39. package/dist/julia-BmDH8Kkf.js +0 -511
  40. package/dist/kotlin-Dhu5AGOD.js +0 -252
  41. package/dist/less-CzmjXREq.js +0 -162
  42. package/dist/lexon-CV2c4pN9.js +0 -157
  43. package/dist/liquid-B4OW2xCW.js +0 -233
  44. package/dist/lspLanguageFeatures-CLOLvtro.js +0 -1458
  45. package/dist/lua-CFY5U8qF.js +0 -162
  46. package/dist/m3-BL_AnZVh.js +0 -210
  47. package/dist/markdown-CWKQPXCv.js +0 -229
  48. package/dist/mdx-BscoYQtT.js +0 -158
  49. package/dist/mips-ButYmJ5E.js +0 -198
  50. package/dist/monaco-editor.es.js +0 -5
  51. package/dist/monaco-editor.umd.js +0 -1234
  52. package/dist/msdax-C3cnXqDh.js +0 -375
  53. package/dist/mysql-YlSHaf6G.js +0 -878
  54. package/dist/objective-c-Dwnlproe.js +0 -183
  55. package/dist/pascal-UzGbSWEN.js +0 -251
  56. package/dist/pascaligo-CslTgu68.js +0 -164
  57. package/dist/perl-oj6r_mgw.js +0 -626
  58. package/dist/pgsql-DSBO0Mev.js +0 -851
  59. package/dist/php-YzCD--7j.js +0 -500
  60. package/dist/pla-CmylB8vQ.js +0 -137
  61. package/dist/postiats-CLFW5gNY.js +0 -907
  62. package/dist/powerquery-CmOWUvlh.js +0 -890
  63. package/dist/powershell-fHQcmBLK.js +0 -239
  64. package/dist/protobuf-p5BArb-9.js +0 -420
  65. package/dist/pug-gVdUDvqf.js +0 -402
  66. package/dist/python-CdwN88xa.js +0 -294
  67. package/dist/qsharp-DnrWSUlt.js +0 -301
  68. package/dist/r-Cv309K2z.js +0 -243
  69. package/dist/razor-BzIEQn-i.js +0 -543
  70. package/dist/redis-DTcS4wMj.js +0 -302
  71. package/dist/redshift-hLxZVESM.js +0 -809
  72. package/dist/restructuredtext-C898lK4f.js +0 -174
  73. package/dist/ruby-CgKtnJIQ.js +0 -511
  74. package/dist/rust-bj8oxVnh.js +0 -343
  75. package/dist/sb-DWynz26l.js +0 -115
  76. package/dist/scala-BL5z1UEd.js +0 -370
  77. package/dist/scheme-DUJsH6VU.js +0 -108
  78. package/dist/scss-CxjmhvaL.js +0 -262
  79. package/dist/shell-D14Zs1dF.js +0 -221
  80. package/dist/solidity-WyRm-BGK.js +0 -1367
  81. package/dist/sophia-CKk-_Oa5.js +0 -199
  82. package/dist/sparql-DHtmINMZ.js +0 -201
  83. package/dist/sql-Dqaj5JHC.js +0 -853
  84. package/dist/st-Bj2IIaop.js +0 -416
  85. package/dist/style.css +0 -1
  86. package/dist/swift-DwxP72iM.js +0 -312
  87. package/dist/systemverilog-D7wJfuql.js +0 -576
  88. package/dist/tcl-0ApMt-eC.js +0 -232
  89. package/dist/tsMode-Bm7Ao8a0.js +0 -946
  90. package/dist/twig-DxwbdmvQ.js +0 -392
  91. package/dist/types/components/MonacoEditor.vue.d.ts +0 -52
  92. package/dist/types/components/MonacoEditor.vue.d.ts.map +0 -1
  93. package/dist/types/components/MonacoEditorStatusOverlay.vue.d.ts +0 -19
  94. package/dist/types/components/MonacoEditorStatusOverlay.vue.d.ts.map +0 -1
  95. package/dist/types/composables/useI18n.d.ts +0 -9
  96. package/dist/types/composables/useI18n.d.ts.map +0 -1
  97. package/dist/types/composables/useMonacoEditor.d.ts +0 -25
  98. package/dist/types/composables/useMonacoEditor.d.ts.map +0 -1
  99. package/dist/types/constants/index.d.ts +0 -3
  100. package/dist/types/constants/index.d.ts.map +0 -1
  101. package/dist/types/index.d.ts +0 -5
  102. package/dist/types/index.d.ts.map +0 -1
  103. package/dist/types/tests/mocks/monaco-editor-api.d.ts +0 -2
  104. package/dist/types/tests/mocks/monaco-editor-api.d.ts.map +0 -1
  105. package/dist/types/types/index.d.ts +0 -69
  106. package/dist/types/types/index.d.ts.map +0 -1
  107. package/dist/typescript-xVL7xVgf.js +0 -336
  108. package/dist/typespec-Fd-JwSuS.js +0 -117
  109. package/dist/vb-CxuyYE1I.js +0 -372
  110. package/dist/wgsl-DoEGc31J.js +0 -439
  111. package/dist/xml-5AZvXygb.js +0 -88
  112. package/dist/yaml-BpKYQQZ4.js +0 -199
@@ -1,576 +0,0 @@
1
- const e = {
2
- comments: {
3
- lineComment: "//",
4
- blockComment: ["/*", "*/"]
5
- },
6
- brackets: [
7
- ["{", "}"],
8
- ["[", "]"],
9
- ["(", ")"],
10
- ["begin", "end"],
11
- ["case", "endcase"],
12
- ["casex", "endcase"],
13
- ["casez", "endcase"],
14
- ["checker", "endchecker"],
15
- ["class", "endclass"],
16
- ["clocking", "endclocking"],
17
- ["config", "endconfig"],
18
- ["function", "endfunction"],
19
- ["generate", "endgenerate"],
20
- ["group", "endgroup"],
21
- ["interface", "endinterface"],
22
- ["module", "endmodule"],
23
- ["package", "endpackage"],
24
- ["primitive", "endprimitive"],
25
- ["program", "endprogram"],
26
- ["property", "endproperty"],
27
- ["specify", "endspecify"],
28
- ["sequence", "endsequence"],
29
- ["table", "endtable"],
30
- ["task", "endtask"]
31
- ],
32
- autoClosingPairs: [
33
- { open: "[", close: "]" },
34
- { open: "{", close: "}" },
35
- { open: "(", close: ")" },
36
- { open: "'", close: "'", notIn: ["string", "comment"] },
37
- { open: '"', close: '"', notIn: ["string"] }
38
- ],
39
- surroundingPairs: [
40
- { open: "{", close: "}" },
41
- { open: "[", close: "]" },
42
- { open: "(", close: ")" },
43
- { open: '"', close: '"' },
44
- { open: "'", close: "'" }
45
- ],
46
- folding: {
47
- offSide: !1,
48
- markers: {
49
- start: new RegExp(
50
- "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"
51
- ),
52
- end: new RegExp(
53
- "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b"
54
- )
55
- }
56
- }
57
- }, n = {
58
- defaultToken: "",
59
- tokenPostfix: ".sv",
60
- brackets: [
61
- { token: "delimiter.curly", open: "{", close: "}" },
62
- { token: "delimiter.parenthesis", open: "(", close: ")" },
63
- { token: "delimiter.square", open: "[", close: "]" },
64
- { token: "delimiter.angle", open: "<", close: ">" }
65
- ],
66
- keywords: [
67
- "accept_on",
68
- "alias",
69
- "always",
70
- "always_comb",
71
- "always_ff",
72
- "always_latch",
73
- "and",
74
- "assert",
75
- "assign",
76
- "assume",
77
- "automatic",
78
- "before",
79
- "begin",
80
- "bind",
81
- "bins",
82
- "binsof",
83
- "bit",
84
- "break",
85
- "buf",
86
- "bufif0",
87
- "bufif1",
88
- "byte",
89
- "case",
90
- "casex",
91
- "casez",
92
- "cell",
93
- "chandle",
94
- "checker",
95
- "class",
96
- "clocking",
97
- "cmos",
98
- "config",
99
- "const",
100
- "constraint",
101
- "context",
102
- "continue",
103
- "cover",
104
- "covergroup",
105
- "coverpoint",
106
- "cross",
107
- "deassign",
108
- "default",
109
- "defparam",
110
- "design",
111
- "disable",
112
- "dist",
113
- "do",
114
- "edge",
115
- "else",
116
- "end",
117
- "endcase",
118
- "endchecker",
119
- "endclass",
120
- "endclocking",
121
- "endconfig",
122
- "endfunction",
123
- "endgenerate",
124
- "endgroup",
125
- "endinterface",
126
- "endmodule",
127
- "endpackage",
128
- "endprimitive",
129
- "endprogram",
130
- "endproperty",
131
- "endspecify",
132
- "endsequence",
133
- "endtable",
134
- "endtask",
135
- "enum",
136
- "event",
137
- "eventually",
138
- "expect",
139
- "export",
140
- "extends",
141
- "extern",
142
- "final",
143
- "first_match",
144
- "for",
145
- "force",
146
- "foreach",
147
- "forever",
148
- "fork",
149
- "forkjoin",
150
- "function",
151
- "generate",
152
- "genvar",
153
- "global",
154
- "highz0",
155
- "highz1",
156
- "if",
157
- "iff",
158
- "ifnone",
159
- "ignore_bins",
160
- "illegal_bins",
161
- "implements",
162
- "implies",
163
- "import",
164
- "incdir",
165
- "include",
166
- "initial",
167
- "inout",
168
- "input",
169
- "inside",
170
- "instance",
171
- "int",
172
- "integer",
173
- "interconnect",
174
- "interface",
175
- "intersect",
176
- "join",
177
- "join_any",
178
- "join_none",
179
- "large",
180
- "let",
181
- "liblist",
182
- "library",
183
- "local",
184
- "localparam",
185
- "logic",
186
- "longint",
187
- "macromodule",
188
- "matches",
189
- "medium",
190
- "modport",
191
- "module",
192
- "nand",
193
- "negedge",
194
- "nettype",
195
- "new",
196
- "nexttime",
197
- "nmos",
198
- "nor",
199
- "noshowcancelled",
200
- "not",
201
- "notif0",
202
- "notif1",
203
- "null",
204
- "or",
205
- "output",
206
- "package",
207
- "packed",
208
- "parameter",
209
- "pmos",
210
- "posedge",
211
- "primitive",
212
- "priority",
213
- "program",
214
- "property",
215
- "protected",
216
- "pull0",
217
- "pull1",
218
- "pulldown",
219
- "pullup",
220
- "pulsestyle_ondetect",
221
- "pulsestyle_onevent",
222
- "pure",
223
- "rand",
224
- "randc",
225
- "randcase",
226
- "randsequence",
227
- "rcmos",
228
- "real",
229
- "realtime",
230
- "ref",
231
- "reg",
232
- "reject_on",
233
- "release",
234
- "repeat",
235
- "restrict",
236
- "return",
237
- "rnmos",
238
- "rpmos",
239
- "rtran",
240
- "rtranif0",
241
- "rtranif1",
242
- "s_always",
243
- "s_eventually",
244
- "s_nexttime",
245
- "s_until",
246
- "s_until_with",
247
- "scalared",
248
- "sequence",
249
- "shortint",
250
- "shortreal",
251
- "showcancelled",
252
- "signed",
253
- "small",
254
- "soft",
255
- "solve",
256
- "specify",
257
- "specparam",
258
- "static",
259
- "string",
260
- "strong",
261
- "strong0",
262
- "strong1",
263
- "struct",
264
- "super",
265
- "supply0",
266
- "supply1",
267
- "sync_accept_on",
268
- "sync_reject_on",
269
- "table",
270
- "tagged",
271
- "task",
272
- "this",
273
- "throughout",
274
- "time",
275
- "timeprecision",
276
- "timeunit",
277
- "tran",
278
- "tranif0",
279
- "tranif1",
280
- "tri",
281
- "tri0",
282
- "tri1",
283
- "triand",
284
- "trior",
285
- "trireg",
286
- "type",
287
- "typedef",
288
- "union",
289
- "unique",
290
- "unique0",
291
- "unsigned",
292
- "until",
293
- "until_with",
294
- "untyped",
295
- "use",
296
- "uwire",
297
- "var",
298
- "vectored",
299
- "virtual",
300
- "void",
301
- "wait",
302
- "wait_order",
303
- "wand",
304
- "weak",
305
- "weak0",
306
- "weak1",
307
- "while",
308
- "wildcard",
309
- "wire",
310
- "with",
311
- "within",
312
- "wor",
313
- "xnor",
314
- "xor"
315
- ],
316
- builtin_gates: [
317
- "and",
318
- "nand",
319
- "nor",
320
- "or",
321
- "xor",
322
- "xnor",
323
- "buf",
324
- "not",
325
- "bufif0",
326
- "bufif1",
327
- "notif1",
328
- "notif0",
329
- "cmos",
330
- "nmos",
331
- "pmos",
332
- "rcmos",
333
- "rnmos",
334
- "rpmos",
335
- "tran",
336
- "tranif1",
337
- "tranif0",
338
- "rtran",
339
- "rtranif1",
340
- "rtranif0"
341
- ],
342
- operators: [
343
- // assignment operators
344
- "=",
345
- "+=",
346
- "-=",
347
- "*=",
348
- "/=",
349
- "%=",
350
- "&=",
351
- "|=",
352
- "^=",
353
- "<<=",
354
- ">>+",
355
- "<<<=",
356
- ">>>=",
357
- // conditional expression
358
- "?",
359
- ":",
360
- // Unary operators
361
- "+",
362
- "-",
363
- "!",
364
- "~",
365
- "&",
366
- "~&",
367
- "|",
368
- "~|",
369
- "^",
370
- "~^",
371
- "^~",
372
- //binary operators
373
- "+",
374
- "-",
375
- "*",
376
- "/",
377
- "%",
378
- "==",
379
- "!=",
380
- "===",
381
- "!==",
382
- "==?",
383
- "!=?",
384
- "&&",
385
- "||",
386
- "**",
387
- "<",
388
- "<=",
389
- ">",
390
- ">=",
391
- "&",
392
- "|",
393
- "^",
394
- ">>",
395
- "<<",
396
- ">>>",
397
- "<<<",
398
- // increment or decrement operator
399
- "++",
400
- "--",
401
- //binary logical operator
402
- "->",
403
- "<->",
404
- // binary set membership operator
405
- "inside",
406
- // binary distrubution operator
407
- "dist",
408
- "::",
409
- "+:",
410
- "-:",
411
- "*>",
412
- "&&&",
413
- "|->",
414
- "|=>",
415
- "#=#"
416
- ],
417
- // we include these common regular expressions
418
- symbols: /[=><!~?:&|+\-*\/\^%#]+/,
419
- escapes: /%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,
420
- identifier: /(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,
421
- systemcall: /[$][a-zA-Z0-9_]+/,
422
- timeunits: /s|ms|us|ns|ps|fs/,
423
- // The main tokenizer for our languages
424
- tokenizer: {
425
- root: [
426
- // module instances
427
- [
428
- /^(\s*)(@identifier)/,
429
- [
430
- "",
431
- {
432
- cases: {
433
- "@builtin_gates": {
434
- token: "keyword.$2",
435
- next: "@module_instance"
436
- },
437
- table: {
438
- token: "keyword.$2",
439
- next: "@table"
440
- },
441
- "@keywords": { token: "keyword.$2" },
442
- "@default": {
443
- token: "identifier",
444
- next: "@module_instance"
445
- }
446
- }
447
- }
448
- ]
449
- ],
450
- // include statements
451
- [/^\s*`include/, { token: "keyword.directive.include", next: "@include" }],
452
- // Preprocessor directives
453
- [/^\s*`\s*\w+/, "keyword"],
454
- // identifiers and keywords
455
- { include: "@identifier_or_keyword" },
456
- // whitespace and comments
457
- { include: "@whitespace" },
458
- // (* attributes *).
459
- [/\(\*.*\*\)/, "annotation"],
460
- // Systemcall
461
- [/@systemcall/, "variable.predefined"],
462
- // delimiters and operators
463
- [/[{}()\[\]]/, "@brackets"],
464
- [/[<>](?!@symbols)/, "@brackets"],
465
- [
466
- /@symbols/,
467
- {
468
- cases: {
469
- "@operators": "delimiter",
470
- "@default": ""
471
- }
472
- }
473
- ],
474
- // numbers
475
- { include: "@numbers" },
476
- // delimiter: after number because of .\d floats
477
- [/[;,.]/, "delimiter"],
478
- // strings
479
- { include: "@strings" }
480
- ],
481
- identifier_or_keyword: [
482
- [
483
- /@identifier/,
484
- {
485
- cases: {
486
- "@keywords": { token: "keyword.$0" },
487
- "@default": "identifier"
488
- }
489
- }
490
- ]
491
- ],
492
- numbers: [
493
- [/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/, "number.float"],
494
- [/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/, "number.float"],
495
- [/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/, "number"],
496
- [/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/, "number.binary"],
497
- [/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/, "number.octal"],
498
- [/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/, "number.hex"],
499
- [/1step/, "number"],
500
- [/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/, "number"],
501
- [/'[01xXzZ]+/, "number"]
502
- ],
503
- module_instance: [
504
- { include: "@whitespace" },
505
- [/(#?)(\()/, ["", { token: "@brackets", next: "@port_connection" }]],
506
- [/@identifier\s*[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
507
- [/@symbols|[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
508
- [/@identifier/, "type"],
509
- [/;/, "delimiter", "@pop"]
510
- ],
511
- port_connection: [
512
- { include: "@identifier_or_keyword" },
513
- { include: "@whitespace" },
514
- [/@systemcall/, "variable.predefined"],
515
- { include: "@numbers" },
516
- { include: "@strings" },
517
- [/[,]/, "delimiter"],
518
- [/\(/, "@brackets", "@port_connection"],
519
- [/\)/, "@brackets", "@pop"]
520
- ],
521
- whitespace: [
522
- [/[ \t\r\n]+/, ""],
523
- [/\/\*/, "comment", "@comment"],
524
- [/\/\/.*$/, "comment"]
525
- ],
526
- comment: [
527
- [/[^\/*]+/, "comment"],
528
- [/\*\//, "comment", "@pop"],
529
- [/[\/*]/, "comment"]
530
- ],
531
- strings: [
532
- [/"([^"\\]|\\.)*$/, "string.invalid"],
533
- // non-teminated string
534
- [/"/, "string", "@string"]
535
- ],
536
- string: [
537
- [/[^\\"]+/, "string"],
538
- [/@escapes/, "string.escape"],
539
- [/\\./, "string.escape.invalid"],
540
- [/"/, "string", "@pop"]
541
- ],
542
- include: [
543
- [
544
- /(\s*)(")([\w*\/*]*)(.\w*)(")/,
545
- [
546
- "",
547
- "string.include.identifier",
548
- "string.include.identifier",
549
- "string.include.identifier",
550
- { token: "string.include.identifier", next: "@pop" }
551
- ]
552
- ],
553
- [
554
- /(\s*)(<)([\w*\/*]*)(.\w*)(>)/,
555
- [
556
- "",
557
- "string.include.identifier",
558
- "string.include.identifier",
559
- "string.include.identifier",
560
- { token: "string.include.identifier", next: "@pop" }
561
- ]
562
- ]
563
- ],
564
- table: [
565
- { include: "@whitespace" },
566
- [/[()]/, "@brackets"],
567
- [/[:;]/, "delimiter"],
568
- [/[01\-*?xXbBrRfFpPnN]/, "variable.predefined"],
569
- ["endtable", "keyword.endtable", "@pop"]
570
- ]
571
- }
572
- };
573
- export {
574
- e as conf,
575
- n as language
576
- };