@covalent/components 8.15.0-beta.5 → 8.15.0-beta.7

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Files changed (148) hide show
  1. package/cell.js +2 -2
  2. package/cell.mjs +2 -2
  3. package/code-editor/code-editor.d.ts +23 -1
  4. package/code-editor/code-editor.d.ts.map +1 -1
  5. package/code-editor-3a09cbf1.js +732 -0
  6. package/{code-editor-50658551.mjs → code-editor-ce557bd5.mjs} +14343 -47224
  7. package/code-editor.js +1 -1
  8. package/code-editor.mjs +1 -1
  9. package/{html-e9028ad1.js → html-4fffc46e.js} +1 -1
  10. package/{html-201c3d5b.mjs → html-783f1e7c.mjs} +1 -1
  11. package/index.js +1 -1
  12. package/index.mjs +1 -1
  13. package/{javascript-b57f3bab.mjs → javascript-4d09cd3c.mjs} +2 -2
  14. package/{javascript-3c211951.js → javascript-90bb18e6.js} +1 -1
  15. package/notebook/notebook.d.ts.map +1 -1
  16. package/notebook.js +4 -4
  17. package/notebook.mjs +40 -33
  18. package/package.json +1 -1
  19. package/{python-fc06c865.mjs → python-562f8e9b.mjs} +1 -1
  20. package/{python-bc49c081.js → python-af3aad7d.js} +1 -1
  21. package/style.css +1 -1
  22. package/{typescript-40210801.js → typescript-76d039b3.js} +1 -1
  23. package/{typescript-43c5c20d.mjs → typescript-a2a5d6b2.mjs} +1 -1
  24. package/{xml-fa896994.mjs → xml-89571455.mjs} +1 -1
  25. package/{xml-7bc2fc7c.js → xml-e66929d0.js} +1 -1
  26. package/{yaml-ed9aa786.js → yaml-11a91e71.js} +1 -1
  27. package/{yaml-78bd2cbe.mjs → yaml-4eccd122.mjs} +1 -1
  28. package/abap-1354785f.mjs +0 -1314
  29. package/abap-c7187853.js +0 -6
  30. package/apex-279d4f27.mjs +0 -315
  31. package/apex-fc5f2b3e.js +0 -6
  32. package/azcli-ba812c55.mjs +0 -74
  33. package/azcli-eb191d91.js +0 -6
  34. package/bat-34d38df4.js +0 -6
  35. package/bat-4d77495d.mjs +0 -95
  36. package/bicep-7b240724.mjs +0 -108
  37. package/bicep-a8264e77.js +0 -7
  38. package/cameligo-5d2daeb8.mjs +0 -168
  39. package/cameligo-6e71c8f3.js +0 -6
  40. package/clojure-355adae1.js +0 -6
  41. package/clojure-b7ea12a3.mjs +0 -754
  42. package/code-editor-1a85e0d5.js +0 -1200
  43. package/coffee-1a8cfbf4.mjs +0 -228
  44. package/coffee-fd8a7421.js +0 -6
  45. package/csp-54919de0.js +0 -6
  46. package/csp-96407af0.mjs +0 -57
  47. package/cssMode-2b74fdc2.mjs +0 -1450
  48. package/cssMode-9d3a459c.js +0 -9
  49. package/cypher-11e5a4b6.mjs +0 -262
  50. package/cypher-cc849b67.js +0 -6
  51. package/dockerfile-2f8684f7.js +0 -6
  52. package/dockerfile-8739cff7.mjs +0 -132
  53. package/ecl-68a6f20f.js +0 -6
  54. package/ecl-a20c5155.mjs +0 -454
  55. package/elixir-32cc668e.js +0 -6
  56. package/elixir-8b028584.mjs +0 -467
  57. package/flow9-0dea2e73.mjs +0 -141
  58. package/flow9-1d2ab5f6.js +0 -6
  59. package/freemarker2-bde9aca2.js +0 -8
  60. package/freemarker2-cfbd8857.mjs +0 -648
  61. package/fsharp-49a70fad.mjs +0 -210
  62. package/fsharp-a22f5013.js +0 -6
  63. package/graphql-1a14eb34.js +0 -6
  64. package/graphql-51a577e5.mjs +0 -141
  65. package/handlebars-c9cf3ba5.mjs +0 -396
  66. package/handlebars-f9941f98.js +0 -6
  67. package/hcl-0833427e.js +0 -6
  68. package/hcl-56b85754.mjs +0 -177
  69. package/htmlMode-81e069aa.mjs +0 -1460
  70. package/htmlMode-8825beb1.js +0 -9
  71. package/ini-1d58d82f.mjs +0 -68
  72. package/ini-34a929df.js +0 -6
  73. package/jsonMode-1e42d08e.mjs +0 -1871
  74. package/jsonMode-8cd3f8b9.js +0 -11
  75. package/julia-7db1fb9a.js +0 -6
  76. package/julia-a0caf483.mjs +0 -496
  77. package/less-5219eae1.js +0 -7
  78. package/less-718543b4.mjs +0 -168
  79. package/lexon-5e6e7a44.mjs +0 -151
  80. package/lexon-dfd683ab.js +0 -6
  81. package/liquid-987381d2.mjs +0 -243
  82. package/liquid-c3f3aaaf.js +0 -6
  83. package/m3-87473279.js +0 -6
  84. package/m3-aadaf771.mjs +0 -210
  85. package/mips-161e49ce.mjs +0 -194
  86. package/mips-82a1ce59.js +0 -6
  87. package/msdax-b3b5017e.js +0 -6
  88. package/msdax-f65cb1e6.mjs +0 -377
  89. package/mysql-24549dd1.mjs +0 -875
  90. package/mysql-69f1c589.js +0 -6
  91. package/objective-c-c8319b65.js +0 -6
  92. package/objective-c-e129a5ca.mjs +0 -188
  93. package/pascal-4ccdf5ce.mjs +0 -245
  94. package/pascal-e5207a08.js +0 -6
  95. package/pascaligo-8eb79945.js +0 -6
  96. package/pascaligo-a9603dcd.mjs +0 -158
  97. package/perl-ba1fb97a.mjs +0 -599
  98. package/perl-e8264c91.js +0 -6
  99. package/pgsql-89978310.mjs +0 -843
  100. package/pgsql-c8ba6faf.js +0 -6
  101. package/pla-96c2695d.mjs +0 -131
  102. package/pla-c85b0e59.js +0 -6
  103. package/postiats-3c2c478e.js +0 -6
  104. package/postiats-7b52ebac.mjs +0 -544
  105. package/powerquery-8954ec26.mjs +0 -890
  106. package/powerquery-b0d5f9e4.js +0 -6
  107. package/powershell-cdb88123.js +0 -6
  108. package/powershell-f00d0274.mjs +0 -233
  109. package/protobuf-3eb85f80.mjs +0 -422
  110. package/protobuf-a3b2ca94.js +0 -7
  111. package/pug-6fab415a.mjs +0 -393
  112. package/pug-c5df821d.js +0 -6
  113. package/qsharp-4792f872.mjs +0 -282
  114. package/qsharp-7eddb7b9.js +0 -6
  115. package/razor-52a04ea4.mjs +0 -517
  116. package/razor-90572182.js +0 -6
  117. package/redis-0d0a89dd.js +0 -6
  118. package/redis-276b8288.mjs +0 -298
  119. package/redshift-520a7359.mjs +0 -806
  120. package/redshift-b6bdaeca.js +0 -6
  121. package/restructuredtext-4676a3fc.mjs +0 -162
  122. package/restructuredtext-c83d56ed.js +0 -6
  123. package/ruby-764137cd.mjs +0 -444
  124. package/ruby-96103dcf.js +0 -6
  125. package/sb-8c22f14c.mjs +0 -110
  126. package/sb-e2701210.js +0 -6
  127. package/scheme-b9cbf7cb.js +0 -6
  128. package/scheme-e6a52cb0.mjs +0 -114
  129. package/scss-45104c77.mjs +0 -244
  130. package/scss-d0f4f80e.js +0 -8
  131. package/solidity-d4979008.mjs +0 -1351
  132. package/solidity-f1319e8a.js +0 -6
  133. package/sophia-21995caf.mjs +0 -190
  134. package/sophia-a1ce907f.js +0 -6
  135. package/sparql-5088743c.mjs +0 -193
  136. package/sparql-98d9e092.js +0 -6
  137. package/st-122893c4.js +0 -6
  138. package/st-fa9ab362.mjs +0 -414
  139. package/systemverilog-8139818b.js +0 -6
  140. package/systemverilog-a4f858ed.mjs +0 -556
  141. package/tcl-8adf937f.mjs +0 -232
  142. package/tcl-e49fed0b.js +0 -6
  143. package/tsMode-d31816b7.js +0 -16
  144. package/tsMode-f63ee283.mjs +0 -794
  145. package/twig-404eb73e.mjs +0 -323
  146. package/twig-4bfb5b04.js +0 -6
  147. package/vb-8dfd000c.js +0 -6
  148. package/vb-e21e8153.mjs +0 -364
@@ -1,556 +0,0 @@
1
- /*!-----------------------------------------------------------------------------
2
- * Copyright (c) Microsoft Corporation. All rights reserved.
3
- * Version: 0.34.1(547870b6881302c5b4ff32173c16d06009e3588f)
4
- * Released under the MIT license
5
- * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
6
- *-----------------------------------------------------------------------------*/
7
- var e = {
8
- comments: {
9
- lineComment: "//",
10
- blockComment: ["/*", "*/"]
11
- },
12
- brackets: [
13
- ["{", "}"],
14
- ["[", "]"],
15
- ["(", ")"],
16
- ["begin", "end"],
17
- ["case", "endcase"],
18
- ["casex", "endcase"],
19
- ["casez", "endcase"],
20
- ["checker", "endchecker"],
21
- ["class", "endclass"],
22
- ["clocking", "endclocking"],
23
- ["config", "endconfig"],
24
- ["function", "endfunction"],
25
- ["generate", "endgenerate"],
26
- ["group", "endgroup"],
27
- ["interface", "endinterface"],
28
- ["module", "endmodule"],
29
- ["package", "endpackage"],
30
- ["primitive", "endprimitive"],
31
- ["program", "endprogram"],
32
- ["property", "endproperty"],
33
- ["specify", "endspecify"],
34
- ["sequence", "endsequence"],
35
- ["table", "endtable"],
36
- ["task", "endtask"]
37
- ],
38
- autoClosingPairs: [
39
- { open: "[", close: "]" },
40
- { open: "{", close: "}" },
41
- { open: "(", close: ")" },
42
- { open: "'", close: "'", notIn: ["string", "comment"] },
43
- { open: '"', close: '"', notIn: ["string"] }
44
- ],
45
- surroundingPairs: [
46
- { open: "{", close: "}" },
47
- { open: "[", close: "]" },
48
- { open: "(", close: ")" },
49
- { open: '"', close: '"' },
50
- { open: "'", close: "'" }
51
- ],
52
- folding: {
53
- offSide: !1,
54
- markers: {
55
- start: new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"),
56
- end: new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b")
57
- }
58
- }
59
- }, n = {
60
- defaultToken: "",
61
- tokenPostfix: ".sv",
62
- brackets: [
63
- { token: "delimiter.curly", open: "{", close: "}" },
64
- { token: "delimiter.parenthesis", open: "(", close: ")" },
65
- { token: "delimiter.square", open: "[", close: "]" },
66
- { token: "delimiter.angle", open: "<", close: ">" }
67
- ],
68
- keywords: [
69
- "accept_on",
70
- "alias",
71
- "always",
72
- "always_comb",
73
- "always_ff",
74
- "always_latch",
75
- "and",
76
- "assert",
77
- "assign",
78
- "assume",
79
- "automatic",
80
- "before",
81
- "begin",
82
- "bind",
83
- "bins",
84
- "binsof",
85
- "bit",
86
- "break",
87
- "buf",
88
- "bufif0",
89
- "bufif1",
90
- "byte",
91
- "case",
92
- "casex",
93
- "casez",
94
- "cell",
95
- "chandle",
96
- "checker",
97
- "class",
98
- "clocking",
99
- "cmos",
100
- "config",
101
- "const",
102
- "constraint",
103
- "context",
104
- "continue",
105
- "cover",
106
- "covergroup",
107
- "coverpoint",
108
- "cross",
109
- "deassign",
110
- "default",
111
- "defparam",
112
- "design",
113
- "disable",
114
- "dist",
115
- "do",
116
- "edge",
117
- "else",
118
- "end",
119
- "endcase",
120
- "endchecker",
121
- "endclass",
122
- "endclocking",
123
- "endconfig",
124
- "endfunction",
125
- "endgenerate",
126
- "endgroup",
127
- "endinterface",
128
- "endmodule",
129
- "endpackage",
130
- "endprimitive",
131
- "endprogram",
132
- "endproperty",
133
- "endspecify",
134
- "endsequence",
135
- "endtable",
136
- "endtask",
137
- "enum",
138
- "event",
139
- "eventually",
140
- "expect",
141
- "export",
142
- "extends",
143
- "extern",
144
- "final",
145
- "first_match",
146
- "for",
147
- "force",
148
- "foreach",
149
- "forever",
150
- "fork",
151
- "forkjoin",
152
- "function",
153
- "generate",
154
- "genvar",
155
- "global",
156
- "highz0",
157
- "highz1",
158
- "if",
159
- "iff",
160
- "ifnone",
161
- "ignore_bins",
162
- "illegal_bins",
163
- "implements",
164
- "implies",
165
- "import",
166
- "incdir",
167
- "include",
168
- "initial",
169
- "inout",
170
- "input",
171
- "inside",
172
- "instance",
173
- "int",
174
- "integer",
175
- "interconnect",
176
- "interface",
177
- "intersect",
178
- "join",
179
- "join_any",
180
- "join_none",
181
- "large",
182
- "let",
183
- "liblist",
184
- "library",
185
- "local",
186
- "localparam",
187
- "logic",
188
- "longint",
189
- "macromodule",
190
- "matches",
191
- "medium",
192
- "modport",
193
- "module",
194
- "nand",
195
- "negedge",
196
- "nettype",
197
- "new",
198
- "nexttime",
199
- "nmos",
200
- "nor",
201
- "noshowcancelled",
202
- "not",
203
- "notif0",
204
- "notif1",
205
- "null",
206
- "or",
207
- "output",
208
- "package",
209
- "packed",
210
- "parameter",
211
- "pmos",
212
- "posedge",
213
- "primitive",
214
- "priority",
215
- "program",
216
- "property",
217
- "protected",
218
- "pull0",
219
- "pull1",
220
- "pulldown",
221
- "pullup",
222
- "pulsestyle_ondetect",
223
- "pulsestyle_onevent",
224
- "pure",
225
- "rand",
226
- "randc",
227
- "randcase",
228
- "randsequence",
229
- "rcmos",
230
- "real",
231
- "realtime",
232
- "ref",
233
- "reg",
234
- "reject_on",
235
- "release",
236
- "repeat",
237
- "restrict",
238
- "return",
239
- "rnmos",
240
- "rpmos",
241
- "rtran",
242
- "rtranif0",
243
- "rtranif1",
244
- "s_always",
245
- "s_eventually",
246
- "s_nexttime",
247
- "s_until",
248
- "s_until_with",
249
- "scalared",
250
- "sequence",
251
- "shortint",
252
- "shortreal",
253
- "showcancelled",
254
- "signed",
255
- "small",
256
- "soft",
257
- "solve",
258
- "specify",
259
- "specparam",
260
- "static",
261
- "string",
262
- "strong",
263
- "strong0",
264
- "strong1",
265
- "struct",
266
- "super",
267
- "supply0",
268
- "supply1",
269
- "sync_accept_on",
270
- "sync_reject_on",
271
- "table",
272
- "tagged",
273
- "task",
274
- "this",
275
- "throughout",
276
- "time",
277
- "timeprecision",
278
- "timeunit",
279
- "tran",
280
- "tranif0",
281
- "tranif1",
282
- "tri",
283
- "tri0",
284
- "tri1",
285
- "triand",
286
- "trior",
287
- "trireg",
288
- "type",
289
- "typedef",
290
- "union",
291
- "unique",
292
- "unique0",
293
- "unsigned",
294
- "until",
295
- "until_with",
296
- "untyped",
297
- "use",
298
- "uwire",
299
- "var",
300
- "vectored",
301
- "virtual",
302
- "void",
303
- "wait",
304
- "wait_order",
305
- "wand",
306
- "weak",
307
- "weak0",
308
- "weak1",
309
- "while",
310
- "wildcard",
311
- "wire",
312
- "with",
313
- "within",
314
- "wor",
315
- "xnor",
316
- "xor"
317
- ],
318
- builtin_gates: [
319
- "and",
320
- "nand",
321
- "nor",
322
- "or",
323
- "xor",
324
- "xnor",
325
- "buf",
326
- "not",
327
- "bufif0",
328
- "bufif1",
329
- "notif1",
330
- "notif0",
331
- "cmos",
332
- "nmos",
333
- "pmos",
334
- "rcmos",
335
- "rnmos",
336
- "rpmos",
337
- "tran",
338
- "tranif1",
339
- "tranif0",
340
- "rtran",
341
- "rtranif1",
342
- "rtranif0"
343
- ],
344
- operators: [
345
- "=",
346
- "+=",
347
- "-=",
348
- "*=",
349
- "/=",
350
- "%=",
351
- "&=",
352
- "|=",
353
- "^=",
354
- "<<=",
355
- ">>+",
356
- "<<<=",
357
- ">>>=",
358
- "?",
359
- ":",
360
- "+",
361
- "-",
362
- "!",
363
- "~",
364
- "&",
365
- "~&",
366
- "|",
367
- "~|",
368
- "^",
369
- "~^",
370
- "^~",
371
- "+",
372
- "-",
373
- "*",
374
- "/",
375
- "%",
376
- "==",
377
- "!=",
378
- "===",
379
- "!==",
380
- "==?",
381
- "!=?",
382
- "&&",
383
- "||",
384
- "**",
385
- "<",
386
- "<=",
387
- ">",
388
- ">=",
389
- "&",
390
- "|",
391
- "^",
392
- ">>",
393
- "<<",
394
- ">>>",
395
- "<<<",
396
- "++",
397
- "--",
398
- "->",
399
- "<->",
400
- "inside",
401
- "dist",
402
- "::",
403
- "+:",
404
- "-:",
405
- "*>",
406
- "&&&",
407
- "|->",
408
- "|=>",
409
- "#=#"
410
- ],
411
- symbols: /[=><!~?:&|+\-*\/\^%#]+/,
412
- escapes: /%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,
413
- identifier: /(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,
414
- systemcall: /[$][a-zA-Z0-9_]+/,
415
- timeunits: /s|ms|us|ns|ps|fs/,
416
- tokenizer: {
417
- root: [
418
- [
419
- /^(\s*)(@identifier)/,
420
- [
421
- "",
422
- {
423
- cases: {
424
- "@builtin_gates": {
425
- token: "keyword.$2",
426
- next: "@module_instance"
427
- },
428
- table: {
429
- token: "keyword.$2",
430
- next: "@table"
431
- },
432
- "@keywords": { token: "keyword.$2" },
433
- "@default": {
434
- token: "identifier",
435
- next: "@module_instance"
436
- }
437
- }
438
- }
439
- ]
440
- ],
441
- [/^\s*`include/, { token: "keyword.directive.include", next: "@include" }],
442
- [/^\s*`\s*\w+/, "keyword"],
443
- { include: "@identifier_or_keyword" },
444
- { include: "@whitespace" },
445
- [/\(\*.*\*\)/, "annotation"],
446
- [/@systemcall/, "variable.predefined"],
447
- [/[{}()\[\]]/, "@brackets"],
448
- [/[<>](?!@symbols)/, "@brackets"],
449
- [
450
- /@symbols/,
451
- {
452
- cases: {
453
- "@operators": "delimiter",
454
- "@default": ""
455
- }
456
- }
457
- ],
458
- { include: "@numbers" },
459
- [/[;,.]/, "delimiter"],
460
- { include: "@strings" }
461
- ],
462
- identifier_or_keyword: [
463
- [
464
- /@identifier/,
465
- {
466
- cases: {
467
- "@keywords": { token: "keyword.$0" },
468
- "@default": "identifier"
469
- }
470
- }
471
- ]
472
- ],
473
- numbers: [
474
- [/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/, "number.float"],
475
- [/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/, "number.float"],
476
- [/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/, "number"],
477
- [/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/, "number.binary"],
478
- [/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/, "number.octal"],
479
- [/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/, "number.hex"],
480
- [/1step/, "number"],
481
- [/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/, "number"],
482
- [/'[01xXzZ]+/, "number"]
483
- ],
484
- module_instance: [
485
- { include: "@whitespace" },
486
- [/(#?)(\()/, ["", { token: "@brackets", next: "@port_connection" }]],
487
- [/@identifier\s*[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
488
- [/@symbols|[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
489
- [/@identifier/, "type"],
490
- [/;/, "delimiter", "@pop"]
491
- ],
492
- port_connection: [
493
- { include: "@identifier_or_keyword" },
494
- { include: "@whitespace" },
495
- [/@systemcall/, "variable.predefined"],
496
- { include: "@numbers" },
497
- { include: "@strings" },
498
- [/[,]/, "delimiter"],
499
- [/\(/, "@brackets", "@port_connection"],
500
- [/\)/, "@brackets", "@pop"]
501
- ],
502
- whitespace: [
503
- [/[ \t\r\n]+/, ""],
504
- [/\/\*/, "comment", "@comment"],
505
- [/\/\/.*$/, "comment"]
506
- ],
507
- comment: [
508
- [/[^\/*]+/, "comment"],
509
- [/\*\//, "comment", "@pop"],
510
- [/[\/*]/, "comment"]
511
- ],
512
- strings: [
513
- [/"([^"\\]|\\.)*$/, "string.invalid"],
514
- [/"/, "string", "@string"]
515
- ],
516
- string: [
517
- [/[^\\"]+/, "string"],
518
- [/@escapes/, "string.escape"],
519
- [/\\./, "string.escape.invalid"],
520
- [/"/, "string", "@pop"]
521
- ],
522
- include: [
523
- [
524
- /(\s*)(")([\w*\/*]*)(.\w*)(")/,
525
- [
526
- "",
527
- "string.include.identifier",
528
- "string.include.identifier",
529
- "string.include.identifier",
530
- { token: "string.include.identifier", next: "@pop" }
531
- ]
532
- ],
533
- [
534
- /(\s*)(<)([\w*\/*]*)(.\w*)(>)/,
535
- [
536
- "",
537
- "string.include.identifier",
538
- "string.include.identifier",
539
- "string.include.identifier",
540
- { token: "string.include.identifier", next: "@pop" }
541
- ]
542
- ]
543
- ],
544
- table: [
545
- { include: "@whitespace" },
546
- [/[()]/, "@brackets"],
547
- [/[:;]/, "delimiter"],
548
- [/[01\-*?xXbBrRfFpPnN]/, "variable.predefined"],
549
- ["endtable", "keyword.endtable", "@pop"]
550
- ]
551
- }
552
- };
553
- export {
554
- e as conf,
555
- n as language
556
- };