@aquera/nile-elements 0.0.121 → 0.0.122
This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
- package/README.md +5 -0
- package/dist/abap-511af2a5.cjs.js +2 -0
- package/dist/abap-511af2a5.cjs.js.map +1 -0
- package/dist/abap-f2ab40d0.esm.js +1 -0
- package/dist/{angular-ts-32bbcd01.cjs.js → angular-ts-a70761c6.cjs.js} +2 -2
- package/dist/{angular-ts-32bbcd01.cjs.js.map → angular-ts-a70761c6.cjs.js.map} +1 -1
- package/dist/cairo-014ad724.cjs.js +2 -0
- package/dist/cairo-014ad724.cjs.js.map +1 -0
- package/dist/cairo-9be40999.esm.js +1 -0
- package/dist/catppuccin-frappe-52a52bb1.cjs.js +2 -0
- package/dist/catppuccin-frappe-52a52bb1.cjs.js.map +1 -0
- package/dist/catppuccin-frappe-dbc3161e.esm.js +1 -0
- package/dist/catppuccin-latte-d8a0f6a8.cjs.js +2 -0
- package/dist/catppuccin-latte-d8a0f6a8.cjs.js.map +1 -0
- package/dist/catppuccin-latte-e7591143.esm.js +1 -0
- package/dist/catppuccin-macchiato-19a7795e.cjs.js +2 -0
- package/dist/catppuccin-macchiato-19a7795e.cjs.js.map +1 -0
- package/dist/catppuccin-macchiato-283b424a.esm.js +1 -0
- package/dist/catppuccin-mocha-165e830c.esm.js +1 -0
- package/dist/catppuccin-mocha-9f9ec5f6.cjs.js +2 -0
- package/dist/catppuccin-mocha-9f9ec5f6.cjs.js.map +1 -0
- package/dist/cobol-8517cfc1.esm.js +1 -0
- package/dist/cobol-922d57ed.cjs.js +2 -0
- package/dist/cobol-922d57ed.cjs.js.map +1 -0
- package/dist/{cpp-c2442fe2.cjs.js → cpp-8812199e.cjs.js} +2 -2
- package/dist/{cpp-c2442fe2.cjs.js.map → cpp-8812199e.cjs.js.map} +1 -1
- package/dist/{crystal-1913e34d.cjs.js → crystal-5458e62a.cjs.js} +2 -2
- package/dist/{crystal-1913e34d.cjs.js.map → crystal-5458e62a.cjs.js.map} +1 -1
- package/dist/{edge-ef9e682b.cjs.js → edge-cb04b11b.cjs.js} +2 -2
- package/dist/{edge-ef9e682b.cjs.js.map → edge-cb04b11b.cjs.js.map} +1 -1
- package/dist/{elm-7fd07d47.cjs.js → elm-53a0b5b4.cjs.js} +2 -2
- package/dist/{elm-7fd07d47.cjs.js.map → elm-53a0b5b4.cjs.js.map} +1 -1
- package/dist/{erb-c813c1f2.cjs.js → erb-1bc4721c.cjs.js} +2 -2
- package/dist/{erb-c813c1f2.cjs.js.map → erb-1bc4721c.cjs.js.map} +1 -1
- package/dist/{fortran-fixed-form-c39bf051.cjs.js → fortran-fixed-form-00a9d08f.cjs.js} +2 -2
- package/dist/{fortran-fixed-form-c39bf051.cjs.js.map → fortran-fixed-form-00a9d08f.cjs.js.map} +1 -1
- package/dist/{fsharp-ba007c9c.cjs.js → fsharp-661f4f18.cjs.js} +2 -2
- package/dist/{fsharp-ba007c9c.cjs.js.map → fsharp-661f4f18.cjs.js.map} +1 -1
- package/dist/{gdresource-f3068367.cjs.js → gdresource-8fb6a6ad.cjs.js} +2 -2
- package/dist/{gdresource-f3068367.cjs.js.map → gdresource-8fb6a6ad.cjs.js.map} +1 -1
- package/dist/{git-commit-372b638c.cjs.js → git-commit-9373b48b.cjs.js} +2 -2
- package/dist/{git-commit-372b638c.cjs.js.map → git-commit-9373b48b.cjs.js.map} +1 -1
- package/dist/{git-rebase-2cc6f2a3.cjs.js → git-rebase-c3fa2bb9.cjs.js} +2 -2
- package/dist/{git-rebase-2cc6f2a3.cjs.js.map → git-rebase-c3fa2bb9.cjs.js.map} +1 -1
- package/dist/go-85139440.esm.js +1 -0
- package/dist/go-d5ed1b82.cjs.js +2 -0
- package/dist/go-d5ed1b82.cjs.js.map +1 -0
- package/dist/{graphql-74f146e1.cjs.js → graphql-1541cb3c.cjs.js} +2 -2
- package/dist/{graphql-74f146e1.cjs.js.map → graphql-1541cb3c.cjs.js.map} +1 -1
- package/dist/{handlebars-864fcb12.cjs.js → handlebars-39ab86cd.cjs.js} +2 -2
- package/dist/{handlebars-864fcb12.cjs.js.map → handlebars-39ab86cd.cjs.js.map} +1 -1
- package/dist/{http-08501497.cjs.js → http-1cf9a3de.cjs.js} +2 -2
- package/dist/{http-08501497.cjs.js.map → http-1cf9a3de.cjs.js.map} +1 -1
- package/dist/{hxml-bbac80e4.cjs.js → hxml-6d54d760.cjs.js} +2 -2
- package/dist/{hxml-bbac80e4.cjs.js.map → hxml-6d54d760.cjs.js.map} +1 -1
- package/dist/hy-33aa9c6f.cjs.js +2 -0
- package/dist/hy-33aa9c6f.cjs.js.map +1 -0
- package/dist/hy-a7a6e9c3.esm.js +1 -0
- package/dist/{julia-689ac552.cjs.js → julia-0152d8b9.cjs.js} +2 -2
- package/dist/{julia-689ac552.cjs.js.map → julia-0152d8b9.cjs.js.map} +1 -1
- package/dist/{latex-4d76e817.cjs.js → latex-33ebd2ce.cjs.js} +2 -2
- package/dist/{latex-4d76e817.cjs.js.map → latex-33ebd2ce.cjs.js.map} +1 -1
- package/dist/luau-19444703.cjs.js +2 -0
- package/dist/luau-19444703.cjs.js.map +1 -0
- package/dist/luau-b564b070.esm.js +1 -0
- package/dist/{marko-2880d4ee.cjs.js → marko-23ca6223.cjs.js} +2 -2
- package/dist/{marko-2880d4ee.cjs.js.map → marko-23ca6223.cjs.js.map} +1 -1
- package/dist/mdc-05d87356.esm.js +1 -0
- package/dist/mdc-56aefda2.cjs.js +2 -0
- package/dist/mdc-56aefda2.cjs.js.map +1 -0
- package/dist/{mdx-a859ee84.cjs.js → mdx-01dab0d1.cjs.js} +2 -2
- package/dist/{mdx-a859ee84.cjs.js.map → mdx-01dab0d1.cjs.js.map} +1 -1
- package/dist/{mdx-bf2a8fe9.esm.js → mdx-0782bcd8.esm.js} +1 -1
- package/dist/nextflow-11e4899f.esm.js +1 -0
- package/dist/nextflow-2a4bf0cc.cjs.js +2 -0
- package/dist/nextflow-2a4bf0cc.cjs.js.map +1 -0
- package/dist/{nginx-7bc0fc82.cjs.js → nginx-1aabec59.cjs.js} +2 -2
- package/dist/{nginx-7bc0fc82.cjs.js.map → nginx-1aabec59.cjs.js.map} +1 -1
- package/dist/nile-accordion/nile-accordion.cjs.js +1 -1
- package/dist/nile-accordion/nile-accordion.cjs.js.map +1 -1
- package/dist/nile-accordion/nile-accordion.esm.js +1 -1
- package/dist/nile-auto-complete/nile-auto-complete.cjs.js +1 -1
- package/dist/nile-auto-complete/nile-auto-complete.cjs.js.map +1 -1
- package/dist/nile-auto-complete/nile-auto-complete.esm.js +6 -15
- package/dist/nile-avatar/nile-avatar.cjs.js +1 -1
- package/dist/nile-avatar/nile-avatar.cjs.js.map +1 -1
- package/dist/nile-avatar/nile-avatar.esm.js +1 -1
- package/dist/nile-badge/nile-badge.cjs.js +1 -1
- package/dist/nile-badge/nile-badge.cjs.js.map +1 -1
- package/dist/nile-badge/nile-badge.esm.js +1 -1
- package/dist/nile-breadcrumb/nile-breadcrumb.cjs.js +1 -1
- package/dist/nile-breadcrumb/nile-breadcrumb.cjs.js.map +1 -1
- package/dist/nile-breadcrumb/nile-breadcrumb.esm.js +1 -1
- package/dist/nile-breadcrumb-item/nile-breadcrumb-item.cjs.js +1 -1
- package/dist/nile-breadcrumb-item/nile-breadcrumb-item.cjs.js.map +1 -1
- package/dist/nile-breadcrumb-item/nile-breadcrumb-item.esm.js +2 -2
- package/dist/nile-button/nile-button.cjs.js +1 -1
- package/dist/nile-button/nile-button.cjs.js.map +1 -1
- package/dist/nile-button/nile-button.esm.js +1 -1
- package/dist/nile-button-toggle/nile-button-toggle.cjs.js +1 -1
- package/dist/nile-button-toggle/nile-button-toggle.cjs.js.map +1 -1
- package/dist/nile-button-toggle/nile-button-toggle.esm.js +1 -1
- package/dist/nile-button-toggle-group/nile-button-toggle-group.cjs.js +1 -1
- package/dist/nile-button-toggle-group/nile-button-toggle-group.cjs.js.map +1 -1
- package/dist/nile-button-toggle-group/nile-button-toggle-group.esm.js +1 -1
- package/dist/nile-calendar/nile-calendar.cjs.js +1 -1
- package/dist/nile-calendar/nile-calendar.cjs.js.map +1 -1
- package/dist/nile-calendar/nile-calendar.esm.js +3 -3
- package/dist/nile-calendar/nile-calendar.test.cjs.js +1 -1
- package/dist/nile-calendar/nile-calendar.test.cjs.js.map +1 -1
- package/dist/nile-calendar/nile-calendar.test.esm.js +9 -5
- package/dist/nile-card/nile-card.cjs.js +1 -1
- package/dist/nile-card/nile-card.cjs.js.map +1 -1
- package/dist/nile-card/nile-card.esm.js +1 -1
- package/dist/nile-checkbox/nile-checkbox.cjs.js +1 -1
- package/dist/nile-checkbox/nile-checkbox.cjs.js.map +1 -1
- package/dist/nile-checkbox/nile-checkbox.esm.js +1 -1
- package/dist/nile-chip/nile-chip.cjs.js +1 -1
- package/dist/nile-chip/nile-chip.cjs.js.map +1 -1
- package/dist/nile-chip/nile-chip.esm.js +2 -6
- package/dist/nile-code-editor/nile-code-editor.cjs.js +1 -1
- package/dist/nile-code-editor/nile-code-editor.cjs.js.map +1 -1
- package/dist/nile-code-editor/nile-code-editor.esm.js +1 -1
- package/dist/nile-content-editor/nile-content-editor.cjs.js +1 -1
- package/dist/nile-content-editor/nile-content-editor.cjs.js.map +1 -1
- package/dist/nile-content-editor/nile-content-editor.esm.js +5 -5
- package/dist/nile-date-picker/nile-date-picker.cjs.js +1 -1
- package/dist/nile-date-picker/nile-date-picker.cjs.js.map +1 -1
- package/dist/nile-date-picker/nile-date-picker.esm.js +8 -15
- package/dist/nile-dialog/nile-dialog.cjs.js +1 -1
- package/dist/nile-dialog/nile-dialog.cjs.js.map +1 -1
- package/dist/nile-dialog/nile-dialog.esm.js +1 -1
- package/dist/nile-drawer/nile-drawer.cjs.js +1 -1
- package/dist/nile-drawer/nile-drawer.cjs.js.map +1 -1
- package/dist/nile-drawer/nile-drawer.esm.js +1 -1
- package/dist/nile-empty-state/nile-empty-state.cjs.js +1 -1
- package/dist/nile-empty-state/nile-empty-state.cjs.js.map +1 -1
- package/dist/nile-empty-state/nile-empty-state.esm.js +1 -1
- package/dist/nile-form-help-text/nile-form-help-text.cjs.js +1 -1
- package/dist/nile-form-help-text/nile-form-help-text.cjs.js.map +1 -1
- package/dist/nile-form-help-text/nile-form-help-text.esm.js +5 -4
- package/dist/nile-form-help-text/nile-form-help-text.test.cjs.js +2 -0
- package/dist/nile-form-help-text/nile-form-help-text.test.cjs.js.map +1 -0
- package/dist/nile-form-help-text/nile-form-help-text.test.esm.js +1 -0
- package/dist/nile-highlighter/nile-highlighter.cjs.js +1 -1
- package/dist/nile-highlighter/nile-highlighter.cjs.js.map +1 -1
- package/dist/nile-highlighter/nile-highlighter.esm.js +1 -1
- package/dist/nile-icon-button/nile-icon-button.cjs.js +1 -1
- package/dist/nile-icon-button/nile-icon-button.cjs.js.map +1 -1
- package/dist/nile-icon-button/nile-icon-button.esm.js +1 -1
- package/dist/nile-input/nile-input.cjs.js +1 -1
- package/dist/nile-input/nile-input.cjs.js.map +1 -1
- package/dist/nile-input/nile-input.esm.js +1 -1
- package/dist/nile-link/nile-link.cjs.js +1 -1
- package/dist/nile-link/nile-link.cjs.js.map +1 -1
- package/dist/nile-link/nile-link.esm.js +1 -1
- package/dist/nile-list/nile-list.cjs.js +1 -1
- package/dist/nile-list/nile-list.cjs.js.map +1 -1
- package/dist/nile-list/nile-list.esm.js +1 -1
- package/dist/nile-list-item/nile-list-item.cjs.js +1 -1
- package/dist/nile-list-item/nile-list-item.cjs.js.map +1 -1
- package/dist/nile-list-item/nile-list-item.esm.js +1 -1
- package/dist/nile-loader/nile-loader.test.cjs.js +1 -1
- package/dist/nile-loader/nile-loader.test.cjs.js.map +1 -1
- package/dist/nile-loader/nile-loader.test.esm.js +1 -1
- package/dist/nile-menu/nile-menu.cjs.js +1 -1
- package/dist/nile-menu/nile-menu.cjs.js.map +1 -1
- package/dist/nile-menu/nile-menu.esm.js +2 -5
- package/dist/nile-menu-item/nile-menu-item.cjs.js +1 -1
- package/dist/nile-menu-item/nile-menu-item.cjs.js.map +1 -1
- package/dist/nile-menu-item/nile-menu-item.esm.js +1 -1
- package/dist/nile-option/nile-option.cjs.js +1 -1
- package/dist/nile-option/nile-option.cjs.js.map +1 -1
- package/dist/nile-option/nile-option.esm.js +1 -1
- package/dist/nile-popover/nile-popover.cjs.js +1 -1
- package/dist/nile-popover/nile-popover.cjs.js.map +1 -1
- package/dist/nile-popover/nile-popover.esm.js +4 -4
- package/dist/nile-popup/nile-popup.cjs.js +1 -1
- package/dist/nile-popup/nile-popup.cjs.js.map +1 -1
- package/dist/nile-popup/nile-popup.esm.js +1 -1
- package/dist/nile-radio-group/nile-radio-group.cjs.js +1 -1
- package/dist/nile-radio-group/nile-radio-group.cjs.js.map +1 -1
- package/dist/nile-radio-group/nile-radio-group.esm.js +1 -1
- package/dist/nile-select/nile-select.cjs.js +1 -1
- package/dist/nile-select/nile-select.cjs.js.map +1 -1
- package/dist/nile-select/nile-select.esm.js +4 -6
- package/dist/nile-slide-toggle/nile-slide-toggle.cjs.js +1 -1
- package/dist/nile-slide-toggle/nile-slide-toggle.cjs.js.map +1 -1
- package/dist/nile-slide-toggle/nile-slide-toggle.esm.js +1 -1
- package/dist/nile-split-panel/nile-split-panel.cjs.js +1 -1
- package/dist/nile-split-panel/nile-split-panel.cjs.js.map +1 -1
- package/dist/nile-split-panel/nile-split-panel.esm.js +1 -1
- package/dist/nile-stepper/nile-stepper.cjs.js +1 -1
- package/dist/nile-stepper/nile-stepper.cjs.js.map +1 -1
- package/dist/nile-stepper/nile-stepper.esm.js +1 -1
- package/dist/nile-tab/nile-tab.cjs.js +1 -1
- package/dist/nile-tab/nile-tab.cjs.js.map +1 -1
- package/dist/nile-tab/nile-tab.esm.js +1 -1
- package/dist/nile-tab-group/nile-tab-group.cjs.js +1 -1
- package/dist/nile-tab-group/nile-tab-group.cjs.js.map +1 -1
- package/dist/nile-tab-group/nile-tab-group.esm.js +4 -4
- package/dist/nile-tab-group/nile-tab-group.test.cjs.js +1 -1
- package/dist/nile-tab-group/nile-tab-group.test.cjs.js.map +1 -1
- package/dist/nile-tab-group/nile-tab-group.test.esm.js +13 -5
- package/dist/nile-table-body/nile-table-body.cjs.js +1 -1
- package/dist/nile-table-body/nile-table-body.cjs.js.map +1 -1
- package/dist/nile-table-body/nile-table-body.esm.js +1 -1
- package/dist/nile-tag/nile-tag.cjs.js +1 -1
- package/dist/nile-tag/nile-tag.cjs.js.map +1 -1
- package/dist/nile-tag/nile-tag.esm.js +1 -1
- package/dist/nile-textarea/nile-textarea.cjs.js +1 -1
- package/dist/nile-textarea/nile-textarea.cjs.js.map +1 -1
- package/dist/nile-textarea/nile-textarea.esm.js +1 -1
- package/dist/nile-toast/nile-toast.cjs.js +1 -1
- package/dist/nile-toast/nile-toast.cjs.js.map +1 -1
- package/dist/nile-toast/nile-toast.esm.js +1 -1
- package/dist/nile-tooltip/nile-tooltip.cjs.js +1 -1
- package/dist/nile-tooltip/nile-tooltip.cjs.js.map +1 -1
- package/dist/nile-tooltip/nile-tooltip.esm.js +1 -1
- package/dist/nile-tree/nile-tree.cjs.js +1 -1
- package/dist/nile-tree/nile-tree.cjs.js.map +1 -1
- package/dist/nile-tree/nile-tree.esm.js +1 -1
- package/dist/{nim-1cf0460c.cjs.js → nim-55b3acf7.cjs.js} +2 -2
- package/dist/{nim-1cf0460c.cjs.js.map → nim-55b3acf7.cjs.js.map} +1 -1
- package/dist/one-dark-pro-3bcc58f7.cjs.js +2 -0
- package/dist/one-dark-pro-3bcc58f7.cjs.js.map +1 -0
- package/dist/one-dark-pro-4fce25f8.esm.js +1 -0
- package/dist/{razor-0acc551a.cjs.js → razor-57f13c4a.cjs.js} +2 -2
- package/dist/{razor-0acc551a.cjs.js.map → razor-57f13c4a.cjs.js.map} +1 -1
- package/dist/{rst-6b792481.cjs.js → rst-4226018b.cjs.js} +2 -2
- package/dist/{rst-6b792481.cjs.js.map → rst-4226018b.cjs.js.map} +1 -1
- package/dist/{ruby-578b1ee6.cjs.js → ruby-4306ddd1.cjs.js} +2 -2
- package/dist/{ruby-578b1ee6.cjs.js.map → ruby-4306ddd1.cjs.js.map} +1 -1
- package/dist/{shaderlab-606f022b.cjs.js → shaderlab-2234cd06.cjs.js} +2 -2
- package/dist/{shaderlab-606f022b.cjs.js.map → shaderlab-2234cd06.cjs.js.map} +1 -1
- package/dist/{shellsession-cb0df007.cjs.js → shellsession-cd95727e.cjs.js} +2 -2
- package/dist/{shellsession-cb0df007.cjs.js.map → shellsession-cd95727e.cjs.js.map} +1 -1
- package/dist/{sparql-589e0308.cjs.js → sparql-197a828d.cjs.js} +2 -2
- package/dist/{sparql-589e0308.cjs.js.map → sparql-197a828d.cjs.js.map} +1 -1
- package/dist/src/nile-accordion/nile-accordion.d.ts +0 -1
- package/dist/src/nile-accordion/nile-accordion.js +0 -1
- package/dist/src/nile-accordion/nile-accordion.js.map +1 -1
- package/dist/src/nile-auto-complete/nile-auto-complete.d.ts +0 -1
- package/dist/src/nile-auto-complete/nile-auto-complete.js +2 -12
- package/dist/src/nile-auto-complete/nile-auto-complete.js.map +1 -1
- package/dist/src/nile-avatar/nile-avatar.d.ts +0 -1
- package/dist/src/nile-avatar/nile-avatar.js +0 -1
- package/dist/src/nile-avatar/nile-avatar.js.map +1 -1
- package/dist/src/nile-badge/nile-badge.d.ts +0 -1
- package/dist/src/nile-badge/nile-badge.js +0 -1
- package/dist/src/nile-badge/nile-badge.js.map +1 -1
- package/dist/src/nile-breadcrumb/nile-breadcrumb.d.ts +0 -1
- package/dist/src/nile-breadcrumb/nile-breadcrumb.js +0 -5
- package/dist/src/nile-breadcrumb/nile-breadcrumb.js.map +1 -1
- package/dist/src/nile-breadcrumb-item/nile-breadcrumb-item.d.ts +0 -1
- package/dist/src/nile-breadcrumb-item/nile-breadcrumb-item.js +0 -1
- package/dist/src/nile-breadcrumb-item/nile-breadcrumb-item.js.map +1 -1
- package/dist/src/nile-button/nile-button.d.ts +0 -1
- package/dist/src/nile-button/nile-button.js +0 -1
- package/dist/src/nile-button/nile-button.js.map +1 -1
- package/dist/src/nile-button-toggle/nile-button-toggle.d.ts +0 -1
- package/dist/src/nile-button-toggle/nile-button-toggle.js +0 -1
- package/dist/src/nile-button-toggle/nile-button-toggle.js.map +1 -1
- package/dist/src/nile-button-toggle-group/nile-button-toggle-group.d.ts +0 -1
- package/dist/src/nile-button-toggle-group/nile-button-toggle-group.js +0 -1
- package/dist/src/nile-button-toggle-group/nile-button-toggle-group.js.map +1 -1
- package/dist/src/nile-calendar/nile-calendar.d.ts +1 -9
- package/dist/src/nile-calendar/nile-calendar.js +1 -55
- package/dist/src/nile-calendar/nile-calendar.js.map +1 -1
- package/dist/src/nile-calendar/nile-calendar.test.js +50 -5
- package/dist/src/nile-calendar/nile-calendar.test.js.map +1 -1
- package/dist/src/nile-card/nile-card.d.ts +0 -1
- package/dist/src/nile-card/nile-card.js +0 -1
- package/dist/src/nile-card/nile-card.js.map +1 -1
- package/dist/src/nile-checkbox/nile-checkbox.d.ts +0 -1
- package/dist/src/nile-checkbox/nile-checkbox.js +0 -1
- package/dist/src/nile-checkbox/nile-checkbox.js.map +1 -1
- package/dist/src/nile-chip/nile-chip.d.ts +0 -1
- package/dist/src/nile-chip/nile-chip.js +0 -5
- package/dist/src/nile-chip/nile-chip.js.map +1 -1
- package/dist/src/nile-code-editor/nile-code-editor.d.ts +0 -1
- package/dist/src/nile-code-editor/nile-code-editor.js +0 -1
- package/dist/src/nile-code-editor/nile-code-editor.js.map +1 -1
- package/dist/src/nile-content-editor/nile-content-editor.d.ts +0 -1
- package/dist/src/nile-content-editor/nile-content-editor.js +0 -1
- package/dist/src/nile-content-editor/nile-content-editor.js.map +1 -1
- package/dist/src/nile-date-picker/nile-date-picker.d.ts +0 -1
- package/dist/src/nile-date-picker/nile-date-picker.js +7 -14
- package/dist/src/nile-date-picker/nile-date-picker.js.map +1 -1
- package/dist/src/nile-dialog/nile-dialog.d.ts +0 -1
- package/dist/src/nile-dialog/nile-dialog.js +0 -1
- package/dist/src/nile-dialog/nile-dialog.js.map +1 -1
- package/dist/src/nile-drawer/nile-drawer.d.ts +0 -1
- package/dist/src/nile-drawer/nile-drawer.js +0 -1
- package/dist/src/nile-drawer/nile-drawer.js.map +1 -1
- package/dist/src/nile-empty-state/nile-empty-state.d.ts +0 -1
- package/dist/src/nile-empty-state/nile-empty-state.js +0 -1
- package/dist/src/nile-empty-state/nile-empty-state.js.map +1 -1
- package/dist/src/nile-form-help-text/nile-form-help-text.d.ts +2 -0
- package/dist/src/nile-form-help-text/nile-form-help-text.js +14 -6
- package/dist/src/nile-form-help-text/nile-form-help-text.js.map +1 -1
- package/dist/src/nile-form-help-text/nile-form-help-text.test.d.ts +1 -0
- package/dist/src/nile-form-help-text/nile-form-help-text.test.js +67 -0
- package/dist/src/nile-form-help-text/nile-form-help-text.test.js.map +1 -0
- package/dist/src/nile-icon-button/nile-icon-button.d.ts +0 -1
- package/dist/src/nile-icon-button/nile-icon-button.js +0 -1
- package/dist/src/nile-icon-button/nile-icon-button.js.map +1 -1
- package/dist/src/nile-input/nile-input.d.ts +0 -1
- package/dist/src/nile-input/nile-input.js +0 -1
- package/dist/src/nile-input/nile-input.js.map +1 -1
- package/dist/src/nile-link/nile-link.d.ts +0 -1
- package/dist/src/nile-link/nile-link.js +0 -1
- package/dist/src/nile-link/nile-link.js.map +1 -1
- package/dist/src/nile-list/nile-list.d.ts +0 -1
- package/dist/src/nile-list/nile-list.js +0 -5
- package/dist/src/nile-list/nile-list.js.map +1 -1
- package/dist/src/nile-list-item/nile-list-item.d.ts +0 -1
- package/dist/src/nile-list-item/nile-list-item.js +0 -1
- package/dist/src/nile-list-item/nile-list-item.js.map +1 -1
- package/dist/src/nile-loader/nile-loader.test.js +5 -0
- package/dist/src/nile-loader/nile-loader.test.js.map +1 -1
- package/dist/src/nile-menu/nile-menu.d.ts +0 -1
- package/dist/src/nile-menu/nile-menu.js +0 -4
- package/dist/src/nile-menu/nile-menu.js.map +1 -1
- package/dist/src/nile-menu-item/nile-menu-item.d.ts +0 -2
- package/dist/src/nile-menu-item/nile-menu-item.js +0 -2
- package/dist/src/nile-menu-item/nile-menu-item.js.map +1 -1
- package/dist/src/nile-option/nile-option.d.ts +0 -2
- package/dist/src/nile-option/nile-option.js +0 -2
- package/dist/src/nile-option/nile-option.js.map +1 -1
- package/dist/src/nile-popover/nile-popover.d.ts +0 -1
- package/dist/src/nile-popover/nile-popover.js +0 -1
- package/dist/src/nile-popover/nile-popover.js.map +1 -1
- package/dist/src/nile-popup/nile-popup.d.ts +0 -2
- package/dist/src/nile-popup/nile-popup.js +0 -2
- package/dist/src/nile-popup/nile-popup.js.map +1 -1
- package/dist/src/nile-radio-group/nile-radio-group.d.ts +0 -1
- package/dist/src/nile-radio-group/nile-radio-group.js +0 -1
- package/dist/src/nile-radio-group/nile-radio-group.js.map +1 -1
- package/dist/src/nile-select/nile-select.d.ts +0 -1
- package/dist/src/nile-select/nile-select.js +3 -6
- package/dist/src/nile-select/nile-select.js.map +1 -1
- package/dist/src/nile-slide-toggle/nile-slide-toggle.d.ts +0 -1
- package/dist/src/nile-slide-toggle/nile-slide-toggle.js +0 -1
- package/dist/src/nile-slide-toggle/nile-slide-toggle.js.map +1 -1
- package/dist/src/nile-split-panel/nile-split-panel.d.ts +0 -1
- package/dist/src/nile-split-panel/nile-split-panel.js +0 -1
- package/dist/src/nile-split-panel/nile-split-panel.js.map +1 -1
- package/dist/src/nile-stepper/nile-stepper.d.ts +0 -1
- package/dist/src/nile-stepper/nile-stepper.js +0 -1
- package/dist/src/nile-stepper/nile-stepper.js.map +1 -1
- package/dist/src/nile-tab/nile-tab.d.ts +0 -1
- package/dist/src/nile-tab/nile-tab.js +0 -1
- package/dist/src/nile-tab/nile-tab.js.map +1 -1
- package/dist/src/nile-tab-group/nile-tab-group.d.ts +1 -2
- package/dist/src/nile-tab-group/nile-tab-group.js +5 -10
- package/dist/src/nile-tab-group/nile-tab-group.js.map +1 -1
- package/dist/src/nile-tab-group/nile-tab-group.test.js +56 -22
- package/dist/src/nile-tab-group/nile-tab-group.test.js.map +1 -1
- package/dist/src/nile-table-body/nile-table-body.d.ts +0 -1
- package/dist/src/nile-table-body/nile-table-body.js +0 -1
- package/dist/src/nile-table-body/nile-table-body.js.map +1 -1
- package/dist/src/nile-tag/nile-tag.d.ts +0 -1
- package/dist/src/nile-tag/nile-tag.js +0 -1
- package/dist/src/nile-tag/nile-tag.js.map +1 -1
- package/dist/src/nile-textarea/nile-textarea.d.ts +0 -1
- package/dist/src/nile-textarea/nile-textarea.js +0 -1
- package/dist/src/nile-textarea/nile-textarea.js.map +1 -1
- package/dist/src/nile-toast/nile-toast.d.ts +0 -1
- package/dist/src/nile-toast/nile-toast.js +0 -1
- package/dist/src/nile-toast/nile-toast.js.map +1 -1
- package/dist/src/nile-tooltip/nile-tooltip.d.ts +0 -1
- package/dist/src/nile-tooltip/nile-tooltip.js +0 -1
- package/dist/src/nile-tooltip/nile-tooltip.js.map +1 -1
- package/dist/src/nile-tree/nile-tree.d.ts +0 -1
- package/dist/src/nile-tree/nile-tree.js +0 -1
- package/dist/src/nile-tree/nile-tree.js.map +1 -1
- package/dist/{svelte-2ae868b6.cjs.js → svelte-5a90df12.cjs.js} +2 -2
- package/dist/{svelte-2ae868b6.cjs.js.map → svelte-5a90df12.cjs.js.map} +1 -1
- package/dist/{svelte-ac03a3ac.esm.js → svelte-cb8564e6.esm.js} +1 -1
- package/dist/{system-verilog-7d2d7268.cjs.js → system-verilog-b3e652eb.cjs.js} +2 -2
- package/dist/{system-verilog-7d2d7268.cjs.js.map → system-verilog-b3e652eb.cjs.js.map} +1 -1
- package/dist/{system-verilog-2d49210f.esm.js → system-verilog-c1c6377f.esm.js} +1 -1
- package/dist/{templ-f2d0dadd.esm.js → templ-13492ead.esm.js} +1 -1
- package/dist/{templ-2a2d64b7.cjs.js → templ-4425132d.cjs.js} +2 -2
- package/dist/{templ-2a2d64b7.cjs.js.map → templ-4425132d.cjs.js.map} +1 -1
- package/dist/{tex-8addc455.cjs.js → tex-d609b400.cjs.js} +2 -2
- package/dist/{tex-8addc455.cjs.js.map → tex-d609b400.cjs.js.map} +1 -1
- package/dist/{ts-tags-e56ee3ee.cjs.js → ts-tags-ba7eb9e3.cjs.js} +2 -2
- package/dist/{ts-tags-e56ee3ee.cjs.js.map → ts-tags-ba7eb9e3.cjs.js.map} +1 -1
- package/dist/tsconfig.tsbuildinfo +1 -1
- package/dist/{twig-911808dc.cjs.js → twig-e9bb57f2.cjs.js} +2 -2
- package/dist/{twig-911808dc.cjs.js.map → twig-e9bb57f2.cjs.js.map} +1 -1
- package/dist/{vue-9b06428b.cjs.js → vue-16d91bfa.cjs.js} +2 -2
- package/dist/{vue-9b06428b.cjs.js.map → vue-16d91bfa.cjs.js.map} +1 -1
- package/dist/{vue-html-ca1dd5bf.cjs.js → vue-html-432cdd7f.cjs.js} +2 -2
- package/dist/{vue-html-ca1dd5bf.cjs.js.map → vue-html-432cdd7f.cjs.js.map} +1 -1
- package/dist/wikitext-2748811a.cjs.js +2 -0
- package/dist/wikitext-2748811a.cjs.js.map +1 -0
- package/dist/wikitext-c9071578.esm.js +1 -0
- package/package.json +2 -2
- package/src/nile-accordion/nile-accordion.ts +0 -1
- package/src/nile-auto-complete/nile-auto-complete.ts +2 -13
- package/src/nile-avatar/nile-avatar.ts +0 -2
- package/src/nile-badge/nile-badge.ts +0 -2
- package/src/nile-breadcrumb/nile-breadcrumb.ts +0 -2
- package/src/nile-breadcrumb-item/nile-breadcrumb-item.ts +0 -2
- package/src/nile-button/nile-button.ts +0 -2
- package/src/nile-button-toggle/nile-button-toggle.ts +0 -2
- package/src/nile-button-toggle-group/nile-button-toggle-group.ts +0 -2
- package/src/nile-calendar/nile-calendar.test.ts +64 -5
- package/src/nile-calendar/nile-calendar.ts +2 -69
- package/src/nile-card/nile-card.ts +0 -2
- package/src/nile-checkbox/nile-checkbox.ts +0 -2
- package/src/nile-chip/nile-chip.ts +0 -6
- package/src/nile-code-editor/nile-code-editor.ts +0 -2
- package/src/nile-content-editor/nile-content-editor.ts +0 -2
- package/src/nile-date-picker/nile-date-picker.ts +7 -15
- package/src/nile-dialog/nile-dialog.ts +0 -2
- package/src/nile-drawer/nile-drawer.ts +0 -2
- package/src/nile-empty-state/nile-empty-state.ts +0 -2
- package/src/nile-form-help-text/nile-form-help-text.test.ts +86 -0
- package/src/nile-form-help-text/nile-form-help-text.ts +17 -15
- package/src/nile-icon-button/nile-icon-button.ts +0 -2
- package/src/nile-input/nile-input.ts +0 -2
- package/src/nile-link/nile-link.ts +0 -2
- package/src/nile-list/nile-list.ts +0 -2
- package/src/nile-list-item/nile-list-item.ts +0 -2
- package/src/nile-loader/nile-loader.test.ts +6 -0
- package/src/nile-menu/nile-menu.ts +0 -6
- package/src/nile-menu-item/nile-menu-item.ts +0 -3
- package/src/nile-option/nile-option.ts +0 -3
- package/src/nile-popover/nile-popover.ts +0 -2
- package/src/nile-popup/nile-popup.ts +0 -3
- package/src/nile-radio-group/nile-radio-group.ts +0 -2
- package/src/nile-select/nile-select.ts +3 -7
- package/src/nile-slide-toggle/nile-slide-toggle.ts +0 -2
- package/src/nile-split-panel/nile-split-panel.ts +0 -2
- package/src/nile-stepper/nile-stepper.ts +0 -2
- package/src/nile-tab/nile-tab.ts +0 -2
- package/src/nile-tab-group/nile-tab-group.test.ts +71 -29
- package/src/nile-tab-group/nile-tab-group.ts +6 -14
- package/src/nile-table-body/nile-table-body.ts +0 -2
- package/src/nile-tag/nile-tag.ts +0 -2
- package/src/nile-textarea/nile-textarea.ts +0 -2
- package/src/nile-toast/nile-toast.ts +0 -2
- package/src/nile-tooltip/nile-tooltip.ts +0 -2
- package/src/nile-tree/nile-tree.ts +0 -2
- package/tsconfig.json +1 -0
- package/vscode-html-custom-data.json +3717 -60
- package/dist/abap-1e9762d1.esm.js +0 -1
- package/dist/abap-3b3fe406.cjs.js +0 -2
- package/dist/abap-3b3fe406.cjs.js.map +0 -1
- package/dist/catppuccin-frappe-c0734345.esm.js +0 -1
- package/dist/catppuccin-frappe-eb77e95d.cjs.js +0 -2
- package/dist/catppuccin-frappe-eb77e95d.cjs.js.map +0 -1
- package/dist/catppuccin-latte-471f76fc.cjs.js +0 -2
- package/dist/catppuccin-latte-471f76fc.cjs.js.map +0 -1
- package/dist/catppuccin-latte-d798493f.esm.js +0 -1
- package/dist/catppuccin-macchiato-7ac78e7e.cjs.js +0 -2
- package/dist/catppuccin-macchiato-7ac78e7e.cjs.js.map +0 -1
- package/dist/catppuccin-macchiato-d78b2ec2.esm.js +0 -1
- package/dist/catppuccin-mocha-3b00f8d6.cjs.js +0 -2
- package/dist/catppuccin-mocha-3b00f8d6.cjs.js.map +0 -1
- package/dist/catppuccin-mocha-6a189a57.esm.js +0 -1
- package/dist/cobol-4ed2b235.esm.js +0 -1
- package/dist/cobol-c428fca2.cjs.js +0 -2
- package/dist/cobol-c428fca2.cjs.js.map +0 -1
- package/dist/go-4c55ae2b.esm.js +0 -1
- package/dist/go-9bee484b.cjs.js +0 -2
- package/dist/go-9bee484b.cjs.js.map +0 -1
- package/dist/hy-431f15f8.cjs.js +0 -2
- package/dist/hy-431f15f8.cjs.js.map +0 -1
- package/dist/hy-5e43d6c1.esm.js +0 -1
- package/dist/luau-0b166b7a.cjs.js +0 -2
- package/dist/luau-0b166b7a.cjs.js.map +0 -1
- package/dist/luau-7d7eaa97.esm.js +0 -1
- package/dist/mdc-13285ad6.esm.js +0 -1
- package/dist/mdc-a3fe82c5.cjs.js +0 -2
- package/dist/mdc-a3fe82c5.cjs.js.map +0 -1
- package/dist/nextflow-35e5a63d.esm.js +0 -1
- package/dist/nextflow-c666e91b.cjs.js +0 -2
- package/dist/nextflow-c666e91b.cjs.js.map +0 -1
- package/dist/nile-split-panel/nile-split-panel.test.cjs.js +0 -2
- package/dist/nile-split-panel/nile-split-panel.test.cjs.js.map +0 -1
- package/dist/nile-split-panel/nile-split-panel.test.esm.js +0 -1
- package/dist/nile-stepper/nile-stepper.test.cjs.js +0 -2
- package/dist/nile-stepper/nile-stepper.test.cjs.js.map +0 -1
- package/dist/nile-stepper/nile-stepper.test.esm.js +0 -1
- package/dist/nile-tree/nile-tree.test.cjs.js +0 -2
- package/dist/nile-tree/nile-tree.test.cjs.js.map +0 -1
- package/dist/nile-tree/nile-tree.test.esm.js +0 -1
- package/dist/one-dark-pro-9477257e.cjs.js +0 -2
- package/dist/one-dark-pro-9477257e.cjs.js.map +0 -1
- package/dist/one-dark-pro-deb4f5e9.esm.js +0 -1
- package/dist/src/nile-split-panel/nile-split-panel.test.d.ts +0 -1
- package/dist/src/nile-split-panel/nile-split-panel.test.js +0 -4
- package/dist/src/nile-split-panel/nile-split-panel.test.js.map +0 -1
- package/dist/src/nile-stepper/nile-stepper.test.d.ts +0 -4
- package/dist/src/nile-stepper/nile-stepper.test.js +0 -7
- package/dist/src/nile-stepper/nile-stepper.test.js.map +0 -1
- package/dist/src/nile-tree/nile-tree.test.d.ts +0 -2
- package/dist/src/nile-tree/nile-tree.test.js +0 -5
- package/dist/src/nile-tree/nile-tree.test.js.map +0 -1
- package/dist/wikitext-b9a7e67b.cjs.js +0 -2
- package/dist/wikitext-b9a7e67b.cjs.js.map +0 -1
- package/dist/wikitext-c06489b3.esm.js +0 -1
- package/src/nile-split-panel/nile-split-panel.test.ts +0 -7
- package/src/nile-stepper/nile-stepper.test.ts +0 -12
- package/src/nile-tree/nile-tree.test.ts +0 -9
@@ -1,2 +1,2 @@
|
|
1
|
-
System.register([],function(_export,_context){"use strict";var e;return{setters:[],execute:function execute(){_export("default",e=[Object.freeze(JSON.parse('{"displayName":"SystemVerilog","fileTypes":["v","vh","sv","svh"],"name":"system-verilog","patterns":[{"include":"#comments"},{"include":"#strings"},{"include":"#typedef-enum-struct-union"},{"include":"#typedef"},{"include":"#functions"},{"include":"#keywords"},{"include":"#tables"},{"include":"#function-task"},{"include":"#module-declaration"},{"include":"#class-declaration"},{"include":"#enum-struct-union"},{"include":"#sequence"},{"include":"#all-types"},{"include":"#module-parameters"},{"include":"#module-no-parameters"},{"include":"#port-net-parameter"},{"include":"#system-tf"},{"include":"#assertion"},{"include":"#bind-directive"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"include":"#attributes"},{"include":"#imports"},{"include":"#operators"},{"include":"#constants"},{"include":"#identifiers"},{"include":"#selects"}],"repository":{"all-types":{"patterns":[{"include":"#built-ins"},{"include":"#modifiers"}]},"assertion":{"captures":{"1":{"name":"entity.name.goto-label.php"},"2":{"name":"keyword.operator.systemverilog"},"3":{"name":"keyword.sva.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*(assert|assume|cover|restrict)\\\\b"},"attributes":{"begin":"(?<!@[ \\\\t\\\\r\\\\n]?)\\\\(\\\\*","beginCaptures":{"0":{"name":"punctuation.attribute.rounds.begin"}},"end":"\\\\*\\\\)","endCaptures":{"0":{"name":"punctuation.attribute.rounds.end"}},"name":"meta.attribute.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.operator.assignment.systemverilog"}},"match":"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(=)[ \\\\t\\\\r\\\\n]*)?"},{"include":"#constants"},{"include":"#strings"}]},"base-grammar":{"patterns":[{"include":"#all-types"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"captures":{"1":{"name":"storage.type.interface.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\t\\\\n]*"},{"include":"#storage-scope"}]},"bind-directive":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)\\\\b","name":"meta.definition.systemverilog"},"built-ins":{"patterns":[{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bit|logic|reg)\\\\b","name":"storage.type.vector.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\b","name":"storage.type.atom.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(shortreal|real|realtime)\\\\b","name":"storage.type.notint.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b","name":"storage.type.net.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\b","name":"storage.type.built-in.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\b","name":"storage.type.uvm.systemverilog"}]},"cast-operator":{"captures":{"1":{"patterns":[{"include":"#built-ins"},{"include":"#constants"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"2":{"name":"keyword.operator.cast.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(\')(?=\\\\()","name":"meta.cast.systemverilog"},"class-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(virtual[ \\\\t\\\\r\\\\n]+)?(class)(?:[ \\\\t\\\\r\\\\n]+(static|automatic))?[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]+(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.class.systemverilog"},"3":{"name":"storage.modifier.systemverilog"},"4":{"name":"entity.name.type.class.systemverilog"},"5":{"name":"keyword.control.systemverilog"},"6":{"name":"entity.name.type.class.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.class.end.systemverilog"}},"name":"meta.class.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]*,[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*"},{"captures":{"1":{"name":"storage.type.userdefined.systemverilog"},"2":{"name":"keyword.operator.param.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(#)\\\\(","name":"meta.typedef.class.systemverilog"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#module-binding"},{"include":"#identifiers"}]},"comments":{"patterns":[{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"name":"comment.block.systemverilog","patterns":[{"include":"#fixme-todo"}]},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"$\\\\n?","name":"comment.line.double-slash.systemverilog","patterns":[{"include":"#fixme-todo"}]}]},"compiler-directives":{"name":"meta.preprocessor.systemverilog","patterns":[{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"},"3":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\b"},{"begin":"(`)(protected)\\\\b","beginCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"end":"(`)(endprotected)\\\\b","endCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"name":"meta.crypto.systemverilog"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"}]},"constants":{"patterns":[{"match":"(\\\\b[1-9][0-9_]*)?\'([sS]?[bB][ \\\\t\\\\r\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\t\\\\r\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\t\\\\r\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\t\\\\r\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\+|-)?\\\\d+)?(?!\'|\\\\w)","name":"constant.numeric.systemverilog"},{"match":"\'[01xXzZ]","name":"constant.numeric.bit.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?<!\\\\.)(?:e|E)(?:\\\\+|-)?\\\\d+)\\\\b","name":"constant.numeric.exp.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?!(?:[\\\\d\\\\.]|[ \\\\t\\\\r\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\b","name":"constant.numeric.decimal.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d\\\\.]*[ \\\\t\\\\r\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\b","name":"constant.numeric.time.systemverilog"},{"include":"#compiler-directives"},{"match":"\\\\b(?:this|super|null)\\\\b","name":"constant.language.systemverilog"},{"match":"\\\\b([A-Z][A-Z0-9_]*)\\\\b","name":"constant.other.net.systemverilog"},{"match":"\\\\b(?<!\\\\.)([A-Z0-9_]+)(?!\\\\.)\\\\b","name":"constant.numeric.parameter.uppercase.systemverilog"},{"match":"\\\\.\\\\*","name":"keyword.operator.quantifier.regexp"}]},"enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"}]},"3":{"patterns":[{"include":"#selects"}]},"4":{"name":"storage.modifier.systemverilog"},"5":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"patterns":[{"include":"#identifiers"}]},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.enum-struct-union.systemverilog","patterns":[{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"fixme-todo":{"patterns":[{"match":"(?i:fixme)","name":"invalid.broken.fixme.systemverilog"},{"match":"(?i:todo)","name":"invalid.unimplemented.todo.systemverilog"}]},"function-task":{"begin":"[ \\\\t\\\\r\\\\n]*(?:\\\\b(virtual)[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(function|task)\\\\b)(?:[ \\\\t\\\\r\\\\n]+\\\\b(static|automatic)\\\\b)?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.function.systemverilog"},"3":{"name":"storage.modifier.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.function.end.systemverilog"}},"name":"meta.function.systemverilog","patterns":[{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"},"3":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"4":{"patterns":[{"include":"#modifiers"}]},"5":{"patterns":[{"include":"#selects"}]},"6":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\b[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)(?=\\\\(|;)"},{"include":"#keywords"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"functions":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"entity.name.function.systemverilog"},"identifiers":{"patterns":[{"match":"\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\b","name":"variable.other.identifier.systemverilog"},{"match":"(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n])","name":"string.regexp.identifier.systemverilog"}]},"imports":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"support.type.scope.systemverilog"},"3":{"name":"keyword.operator.scope.systemverilog"},"4":{"patterns":[{"include":"#operators"},{"include":"#identifiers"}]}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(import|export)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(::)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(,|;)","name":"meta.import.systemverilog"},"keywords":{"patterns":[{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\b"},{"include":"#sv-control"},{"include":"#sv-control-begin"},{"include":"#sv-control-end"},{"include":"#sv-definition"},{"include":"#sv-cover-cross"},{"include":"#sv-std"},{"include":"#sv-option"},{"include":"#sv-local"},{"include":"#sv-rand"}]},"modifiers":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\b","name":"storage.modifier.systemverilog"},"module-binding":{"begin":"\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*\\\\(","beginCaptures":{"1":{"name":"support.function.port.systemverilog"}},"end":"\\\\),?","name":"meta.port.binding.systemverilog","patterns":[{"include":"#constants"},{"include":"#comments"},{"include":"#operators"},{"include":"#strings"},{"include":"#constants"},{"include":"#storage-scope"},{"include":"#cast-operator"},{"include":"#system-tf"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#identifiers"}]},"module-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\t\\\\r\\\\n]+(?:(static|automatic)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"storage.modifier.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.module.end.systemverilog"}},"name":"meta.module.systemverilog","patterns":[{"include":"#parameters"},{"include":"#port-net-parameter"},{"include":"#imports"},{"include":"#base-grammar"},{"include":"#system-tf"},{"include":"#identifiers"}]},"module-no-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind|pullup|pulldown)[ \\\\t\\\\r\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?)?((?:\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*(?=\\\\(|$)(?!;)","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"},"4":{"name":"variable.other.module.systemverilog"},"5":{"patterns":[{"include":"#selects"}]}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.no_parameters.systemverilog","patterns":[{"include":"#module-binding"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*(\\\\(|$))","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"module-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.parameters.systemverilog","patterns":[{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"variable.other.module.systemverilog"},{"include":"#module-binding"},{"include":"#parameters"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*$)","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"operators":{"patterns":[{"match":"\\\\+=|-=|/=|\\\\*=|%=|&=|\\\\|=|\\\\^=|>>>=|>>=|<<<=|<<=|<=|=","name":"keyword.operator.assignment.systemverilog"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.systemverilog"},{"match":"--","name":"keyword.operator.decrement.systemverilog"},{"match":"\\\\+|-|\\\\*\\\\*|\\\\*|/|%","name":"keyword.operator.arithmetic.systemverilog"},{"match":"!|&&|\\\\|\\\\|","name":"keyword.operator.logical.systemverilog"},{"match":"<<<|<<|>>>|>>","name":"keyword.operator.bitwise.shift.systemverilog"},{"match":"~&|~\\\\||~|\\\\^~|~\\\\^|&|\\\\||\\\\^|{|\'{|}|:|\\\\?","name":"keyword.operator.bitwise.systemverilog"},{"match":"<=|<|>=|>|==\\\\?|!=\\\\?|===|!==|==|!=","name":"keyword.operator.comparison.systemverilog"},{"match":"@|##|#|->|<->","name":"keyword.operator.channel.systemverilog"},{"match":"\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\b|:=|:/|\\\\|->|\\\\|=>|->>|\\\\*>|#-#|#=#|&&&","name":"keyword.operator.logical.systemverilog"}]},"parameters":{"begin":"[ \\\\t\\\\r\\\\n]*(#)[ \\\\t\\\\r\\\\n]*(\\\\()","beginCaptures":{"1":{"name":"keyword.operator.channel.systemverilog"},"2":{"name":"punctuation.section.parameters.begin"}},"end":"(\\\\))[ \\\\t\\\\r\\\\n]*(?=;|\\\\(|[a-zA-Z_]|\\\\\\\\|$)","endCaptures":{"1":{"name":"punctuation.section.parameters.end"}},"name":"meta.parameters.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#comments"},{"include":"#constants"},{"include":"#operators"},{"include":"#strings"},{"include":"#system-tf"},{"include":"#functions"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#module-binding"}]},"port-net-parameter":{"patterns":[{"captures":{"1":{"name":"support.type.direction.systemverilog"},"2":{"name":"storage.type.net.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"6":{"patterns":[{"include":"#modifiers"}]},"7":{"patterns":[{"include":"#selects"}]},"8":{"patterns":[{"include":"#constants"},{"include":"#identifiers"}]},"9":{"patterns":[{"include":"#selects"}]}},"match":",?[ \\\\t\\\\r\\\\n]*(?:\\\\b(output|input|inout|ref)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?<!(?<!#)[:&|=+\\\\-*/%?><^!~(][ \\\\t\\\\r\\\\n]*)\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?[ \\\\t\\\\r\\\\n]*(?=,|;|=|\\\\)|/|$)","name":"meta.port-net-parameter.declaration.systemverilog"}]},"selects":{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.slice.brackets.begin"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.slice.brackets.end"}},"name":"meta.brackets.select.systemverilog","patterns":[{"match":"\\\\$(?![a-z])","name":"constant.language.systemverilog"},{"include":"#system-tf"},{"include":"#constants"},{"include":"#operators"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"variable.other.identifier.systemverilog"}]},"sequence":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(sequence)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.sequence.systemverilog"},"storage-scope":{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)","name":"meta.scope.systemverilog"},"strings":{"patterns":[{"begin":"`?\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":"\\"`?","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.double.systemverilog","patterns":[{"match":"\\\\\\\\(?:[nt\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})","name":"constant.character.escape.systemverilog"},{"match":"%(\\\\d+\\\\$)?[\'\\\\-+0 #]*[,;:_]?((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?(\\\\.((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]","name":"constant.character.format.placeholder.systemverilog"},{"match":"%","name":"invalid.illegal.placeholder.systemverilog"},{"include":"#fixme-todo"}]},{"begin":"(?<=include)[ \\\\t\\\\r\\\\n]*(<)","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.other.lt-gt.include.systemverilog"}]},"sv-control":{"captures":{"1":{"name":"keyword.control.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\b"},"sv-control-begin":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(begin|fork)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.begin.systemverilog"},"sv-control-end":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.end.systemverilog"},"sv-cover-cross":{"captures":{"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"},"4":{"name":"keyword.control.systemverilog"}},"match":"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:))?[ \\\\t\\\\r\\\\n]*(coverpoint|cross)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)","name":"meta.definition.systemverilog"},"sv-definition":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.definition.systemverilog"},"sv-local":{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\b"},"sv-option":{"captures":{"1":{"name":"keyword.cover.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(option)\\\\."},"sv-rand":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:rand|randc)\\\\b","name":"storage.type.rand.systemverilog"},"sv-std":{"match":"\\\\b(std)\\\\b::","name":"support.class.systemverilog"},"system-tf":{"match":"\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\b","name":"support.function.systemverilog"},"tables":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(table)\\\\b","beginCaptures":{"1":{"name":"keyword.table.systemverilog.begin"}},"end":"[ \\\\t\\\\r\\\\n]*\\\\b(endtable)\\\\b","endCaptures":{"1":{"name":"keyword.table.systemverilog.end"}},"name":"meta.table.systemverilog","patterns":[{"include":"#comments"},{"match":"\\\\b[01xXbBrRfFpPnN]\\\\b","name":"constant.language.systemverilog"},{"match":"[-*?]","name":"constant.language.systemverilog"},{"captures":{"1":{"name":"constant.language.systemverilog"}},"match":"\\\\(([01xX?]{2})\\\\)"},{"match":":","name":"punctuation.definition.label.systemverilog"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#identifiers"}]},"typedef":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(typedef)[ \\\\t\\\\r\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]+\\\\b(signed|unsigned)\\\\b)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?=[ \\\\t\\\\r\\\\n]*[a-zA-Z_\\\\\\\\])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"}]},"3":{"patterns":[{"include":"#modifiers"}]},"4":{"patterns":[{"include":"#selects"}]}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.typedef.end.systemverilog"}},"name":"meta.typedef.systemverilog","patterns":[{"include":"#identifiers"},{"include":"#selects"}]},"typedef-enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(typedef)[ \\\\t\\\\r\\\\n]+(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"patterns":[{"include":"#built-ins"}]},"4":{"patterns":[{"include":"#selects"}]},"5":{"name":"storage.modifier.systemverilog"},"6":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"name":"storage.type.systemverilog"},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.typedef-enum-struct-union.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]}},"scopeName":"source.systemverilog"}'))]);}};});
|
2
|
-
//# sourceMappingURL=system-verilog-
|
1
|
+
System.register([],function(_export,_context){"use strict";var e;return{setters:[],execute:function execute(){_export("default",e=[Object.freeze(JSON.parse('{"displayName":"SystemVerilog","fileTypes":["v","vh","sv","svh"],"name":"system-verilog","patterns":[{"include":"#comments"},{"include":"#strings"},{"include":"#typedef-enum-struct-union"},{"include":"#typedef"},{"include":"#functions"},{"include":"#keywords"},{"include":"#tables"},{"include":"#function-task"},{"include":"#module-declaration"},{"include":"#class-declaration"},{"include":"#enum-struct-union"},{"include":"#sequence"},{"include":"#all-types"},{"include":"#module-parameters"},{"include":"#module-no-parameters"},{"include":"#port-net-parameter"},{"include":"#system-tf"},{"include":"#assertion"},{"include":"#bind-directive"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"include":"#attributes"},{"include":"#imports"},{"include":"#operators"},{"include":"#constants"},{"include":"#identifiers"},{"include":"#selects"}],"repository":{"all-types":{"patterns":[{"include":"#built-ins"},{"include":"#modifiers"}]},"assertion":{"captures":{"1":{"name":"entity.name.goto-label.php"},"2":{"name":"keyword.operator.systemverilog"},"3":{"name":"keyword.sva.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*(assert|assume|cover|restrict)\\\\b"},"attributes":{"begin":"(?<!@[ \\\\t\\\\r\\\\n]?)\\\\(\\\\*","beginCaptures":{"0":{"name":"punctuation.attribute.rounds.begin"}},"end":"\\\\*\\\\)","endCaptures":{"0":{"name":"punctuation.attribute.rounds.end"}},"name":"meta.attribute.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.operator.assignment.systemverilog"}},"match":"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(=)[ \\\\t\\\\r\\\\n]*)?"},{"include":"#constants"},{"include":"#strings"}]},"base-grammar":{"patterns":[{"include":"#all-types"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"captures":{"1":{"name":"storage.type.interface.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\t\\\\n]*"},{"include":"#storage-scope"}]},"bind-directive":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)\\\\b","name":"meta.definition.systemverilog"},"built-ins":{"patterns":[{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bit|logic|reg)\\\\b","name":"storage.type.vector.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\b","name":"storage.type.atom.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(shortreal|real|realtime)\\\\b","name":"storage.type.notint.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b","name":"storage.type.net.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\b","name":"storage.type.built-in.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\b","name":"storage.type.uvm.systemverilog"}]},"cast-operator":{"captures":{"1":{"patterns":[{"include":"#built-ins"},{"include":"#constants"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"2":{"name":"keyword.operator.cast.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(\')(?=\\\\()","name":"meta.cast.systemverilog"},"class-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(virtual[ \\\\t\\\\r\\\\n]+)?(class)(?:[ \\\\t\\\\r\\\\n]+(static|automatic))?[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]+(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.class.systemverilog"},"3":{"name":"storage.modifier.systemverilog"},"4":{"name":"entity.name.type.class.systemverilog"},"5":{"name":"keyword.control.systemverilog"},"6":{"name":"entity.name.type.class.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.class.end.systemverilog"}},"name":"meta.class.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]*,[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*"},{"captures":{"1":{"name":"storage.type.userdefined.systemverilog"},"2":{"name":"keyword.operator.param.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(#)\\\\(","name":"meta.typedef.class.systemverilog"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#module-binding"},{"include":"#identifiers"}]},"comments":{"patterns":[{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"name":"comment.block.systemverilog","patterns":[{"include":"#fixme-todo"}]},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"$\\\\n?","name":"comment.line.double-slash.systemverilog","patterns":[{"include":"#fixme-todo"}]}]},"compiler-directives":{"name":"meta.preprocessor.systemverilog","patterns":[{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"},"3":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\b"},{"begin":"(`)(protected)\\\\b","beginCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"end":"(`)(endprotected)\\\\b","endCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"name":"meta.crypto.systemverilog"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"}]},"constants":{"patterns":[{"match":"(\\\\b[1-9][0-9_]*)?\'([sS]?[bB][ \\\\t\\\\r\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\t\\\\r\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\t\\\\r\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\t\\\\r\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\+|-)?\\\\d+)?(?!\'|\\\\w)","name":"constant.numeric.systemverilog"},{"match":"\'[01xXzZ]","name":"constant.numeric.bit.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?<!\\\\.)(?:e|E)(?:\\\\+|-)?\\\\d+)\\\\b","name":"constant.numeric.exp.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?!(?:[\\\\d\\\\.]|[ \\\\t\\\\r\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\b","name":"constant.numeric.decimal.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d\\\\.]*[ \\\\t\\\\r\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\b","name":"constant.numeric.time.systemverilog"},{"include":"#compiler-directives"},{"match":"\\\\b(?:this|super|null)\\\\b","name":"constant.language.systemverilog"},{"match":"\\\\b([A-Z][A-Z0-9_]*)\\\\b","name":"constant.other.net.systemverilog"},{"match":"\\\\b(?<!\\\\.)([A-Z0-9_]+)(?!\\\\.)\\\\b","name":"constant.numeric.parameter.uppercase.systemverilog"},{"match":"\\\\.\\\\*","name":"keyword.operator.quantifier.regexp"}]},"enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"}]},"3":{"patterns":[{"include":"#selects"}]},"4":{"name":"storage.modifier.systemverilog"},"5":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"patterns":[{"include":"#identifiers"}]},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.enum-struct-union.systemverilog","patterns":[{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"fixme-todo":{"patterns":[{"match":"(?i:fixme)","name":"invalid.broken.fixme.systemverilog"},{"match":"(?i:todo)","name":"invalid.unimplemented.todo.systemverilog"}]},"function-task":{"begin":"[ \\\\t\\\\r\\\\n]*(?:\\\\b(virtual)[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(function|task)\\\\b)(?:[ \\\\t\\\\r\\\\n]+\\\\b(static|automatic)\\\\b)?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.function.systemverilog"},"3":{"name":"storage.modifier.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.function.end.systemverilog"}},"name":"meta.function.systemverilog","patterns":[{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"},"3":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"4":{"patterns":[{"include":"#modifiers"}]},"5":{"patterns":[{"include":"#selects"}]},"6":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\b[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)(?=\\\\(|;)"},{"include":"#keywords"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"functions":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"entity.name.function.systemverilog"},"identifiers":{"patterns":[{"match":"\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\b","name":"variable.other.identifier.systemverilog"},{"match":"(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n])","name":"string.regexp.identifier.systemverilog"}]},"imports":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"support.type.scope.systemverilog"},"3":{"name":"keyword.operator.scope.systemverilog"},"4":{"patterns":[{"include":"#operators"},{"include":"#identifiers"}]}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(import|export)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(::)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(,|;)","name":"meta.import.systemverilog"},"keywords":{"patterns":[{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\b"},{"include":"#sv-control"},{"include":"#sv-control-begin"},{"include":"#sv-control-end"},{"include":"#sv-definition"},{"include":"#sv-cover-cross"},{"include":"#sv-std"},{"include":"#sv-option"},{"include":"#sv-local"},{"include":"#sv-rand"}]},"modifiers":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\b","name":"storage.modifier.systemverilog"},"module-binding":{"begin":"\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*\\\\(","beginCaptures":{"1":{"name":"support.function.port.systemverilog"}},"end":"\\\\),?","name":"meta.port.binding.systemverilog","patterns":[{"include":"#constants"},{"include":"#comments"},{"include":"#operators"},{"include":"#strings"},{"include":"#constants"},{"include":"#storage-scope"},{"include":"#cast-operator"},{"include":"#system-tf"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#identifiers"}]},"module-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\t\\\\r\\\\n]+(?:(static|automatic)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"storage.modifier.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.module.end.systemverilog"}},"name":"meta.module.systemverilog","patterns":[{"include":"#parameters"},{"include":"#port-net-parameter"},{"include":"#imports"},{"include":"#base-grammar"},{"include":"#system-tf"},{"include":"#identifiers"}]},"module-no-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind|pullup|pulldown)[ \\\\t\\\\r\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?)?((?:\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*(?=\\\\(|$)(?!;)","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"},"4":{"name":"variable.other.module.systemverilog"},"5":{"patterns":[{"include":"#selects"}]}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.no_parameters.systemverilog","patterns":[{"include":"#module-binding"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*(\\\\(|$))","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"module-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.parameters.systemverilog","patterns":[{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"variable.other.module.systemverilog"},{"include":"#module-binding"},{"include":"#parameters"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*$)","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"operators":{"patterns":[{"match":"\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\b|:=|:/|\\\\|->|\\\\|=>|->>|\\\\*>|#-#|#=#|&&&","name":"keyword.operator.logical.systemverilog"},{"match":"@|##|#|->|<->","name":"keyword.operator.channel.systemverilog"},{"match":"\\\\+=|-=|/=|\\\\*=|%=|&=|\\\\|=|\\\\^=|>>>=|>>=|<<<=|<<=|<=|=","name":"keyword.operator.assignment.systemverilog"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.systemverilog"},{"match":"--","name":"keyword.operator.decrement.systemverilog"},{"match":"\\\\+|-|\\\\*\\\\*|\\\\*|/|%","name":"keyword.operator.arithmetic.systemverilog"},{"match":"!|&&|\\\\|\\\\|","name":"keyword.operator.logical.systemverilog"},{"match":"<<<|<<|>>>|>>","name":"keyword.operator.bitwise.shift.systemverilog"},{"match":"~&|~\\\\||~|\\\\^~|~\\\\^|&|\\\\||\\\\^|{|\'{|}|:|\\\\?","name":"keyword.operator.bitwise.systemverilog"},{"match":"<=|<|>=|>|==\\\\?|!=\\\\?|===|!==|==|!=","name":"keyword.operator.comparison.systemverilog"}]},"parameters":{"begin":"[ \\\\t\\\\r\\\\n]*(#)[ \\\\t\\\\r\\\\n]*(\\\\()","beginCaptures":{"1":{"name":"keyword.operator.channel.systemverilog"},"2":{"name":"punctuation.section.parameters.begin"}},"end":"(\\\\))[ \\\\t\\\\r\\\\n]*(?=;|\\\\(|[a-zA-Z_]|\\\\\\\\|$)","endCaptures":{"1":{"name":"punctuation.section.parameters.end"}},"name":"meta.parameters.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#comments"},{"include":"#constants"},{"include":"#operators"},{"include":"#strings"},{"include":"#system-tf"},{"include":"#functions"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#module-binding"}]},"port-net-parameter":{"patterns":[{"captures":{"1":{"name":"support.type.direction.systemverilog"},"2":{"name":"storage.type.net.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"6":{"patterns":[{"include":"#modifiers"}]},"7":{"patterns":[{"include":"#selects"}]},"8":{"patterns":[{"include":"#constants"},{"include":"#identifiers"}]},"9":{"patterns":[{"include":"#selects"}]}},"match":",?[ \\\\t\\\\r\\\\n]*(?:\\\\b(output|input|inout|ref)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?<!(?<!#)[:&|=+\\\\-*/%?><^!~(][ \\\\t\\\\r\\\\n]*)\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?[ \\\\t\\\\r\\\\n]*(?=,|;|=|\\\\)|/|$)","name":"meta.port-net-parameter.declaration.systemverilog"}]},"selects":{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.slice.brackets.begin"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.slice.brackets.end"}},"name":"meta.brackets.select.systemverilog","patterns":[{"match":"\\\\$(?![a-z])","name":"constant.language.systemverilog"},{"include":"#system-tf"},{"include":"#constants"},{"include":"#operators"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"variable.other.identifier.systemverilog"}]},"sequence":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(sequence)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.sequence.systemverilog"},"storage-scope":{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)","name":"meta.scope.systemverilog"},"strings":{"patterns":[{"begin":"`?\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":"\\"`?","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.double.systemverilog","patterns":[{"match":"\\\\\\\\(?:[nt\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})","name":"constant.character.escape.systemverilog"},{"match":"%(\\\\d+\\\\$)?[\'\\\\-+0 #]*[,;:_]?((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?(\\\\.((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]","name":"constant.character.format.placeholder.systemverilog"},{"match":"%","name":"invalid.illegal.placeholder.systemverilog"},{"include":"#fixme-todo"}]},{"begin":"(?<=include)[ \\\\t\\\\r\\\\n]*(<)","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.other.lt-gt.include.systemverilog"}]},"sv-control":{"captures":{"1":{"name":"keyword.control.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\b"},"sv-control-begin":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(begin|fork)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.begin.systemverilog"},"sv-control-end":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.end.systemverilog"},"sv-cover-cross":{"captures":{"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"},"4":{"name":"keyword.control.systemverilog"}},"match":"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:))?[ \\\\t\\\\r\\\\n]*(coverpoint|cross)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)","name":"meta.definition.systemverilog"},"sv-definition":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.definition.systemverilog"},"sv-local":{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\b"},"sv-option":{"captures":{"1":{"name":"keyword.cover.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(option)\\\\."},"sv-rand":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:rand|randc)\\\\b","name":"storage.type.rand.systemverilog"},"sv-std":{"match":"\\\\b(std)\\\\b::","name":"support.class.systemverilog"},"system-tf":{"match":"\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\b","name":"support.function.systemverilog"},"tables":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(table)\\\\b","beginCaptures":{"1":{"name":"keyword.table.systemverilog.begin"}},"end":"[ \\\\t\\\\r\\\\n]*\\\\b(endtable)\\\\b","endCaptures":{"1":{"name":"keyword.table.systemverilog.end"}},"name":"meta.table.systemverilog","patterns":[{"include":"#comments"},{"match":"\\\\b[01xXbBrRfFpPnN]\\\\b","name":"constant.language.systemverilog"},{"match":"[-*?]","name":"constant.language.systemverilog"},{"captures":{"1":{"name":"constant.language.systemverilog"}},"match":"\\\\(([01xX?]{2})\\\\)"},{"match":":","name":"punctuation.definition.label.systemverilog"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#identifiers"}]},"typedef":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(typedef)[ \\\\t\\\\r\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]+\\\\b(signed|unsigned)\\\\b)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?=[ \\\\t\\\\r\\\\n]*[a-zA-Z_\\\\\\\\])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"}]},"3":{"patterns":[{"include":"#modifiers"}]},"4":{"patterns":[{"include":"#selects"}]}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.typedef.end.systemverilog"}},"name":"meta.typedef.systemverilog","patterns":[{"include":"#identifiers"},{"include":"#selects"}]},"typedef-enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(typedef)[ \\\\t\\\\r\\\\n]+(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"patterns":[{"include":"#built-ins"}]},"4":{"patterns":[{"include":"#selects"}]},"5":{"name":"storage.modifier.systemverilog"},"6":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"name":"storage.type.systemverilog"},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.typedef-enum-struct-union.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]}},"scopeName":"source.systemverilog"}'))]);}};});
|
2
|
+
//# sourceMappingURL=system-verilog-b3e652eb.cjs.js.map
|
@@ -1 +1 @@
|
|
1
|
-
{"version":3,"file":"system-verilog-7d2d7268.cjs.js","sources":["../../../node_modules/shiki/dist/langs/system-verilog.mjs"],"sourcesContent":["const lang = Object.freeze(JSON.parse(\"{\\\"displayName\\\":\\\"SystemVerilog\\\",\\\"fileTypes\\\":[\\\"v\\\",\\\"vh\\\",\\\"sv\\\",\\\"svh\\\"],\\\"name\\\":\\\"system-verilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#typedef-enum-struct-union\\\"},{\\\"include\\\":\\\"#typedef\\\"},{\\\"include\\\":\\\"#functions\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#tables\\\"},{\\\"include\\\":\\\"#function-task\\\"},{\\\"include\\\":\\\"#module-declaration\\\"},{\\\"include\\\":\\\"#class-declaration\\\"},{\\\"include\\\":\\\"#enum-struct-union\\\"},{\\\"include\\\":\\\"#sequence\\\"},{\\\"include\\\":\\\"#all-types\\\"},{\\\"include\\\":\\\"#module-parameters\\\"},{\\\"include\\\":\\\"#module-no-parameters\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#assertion\\\"},{\\\"include\\\":\\\"#bind-directive\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"include\\\":\\\"#attributes\\\"},{\\\"include\\\":\\\"#imports\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#identifiers\\\"},{\\\"include\\\":\\\"#selects\\\"}],\\\"repository\\\":{\\\"all-types\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"assertion\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"entity.name.goto-label.php\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.sva.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(assert|assume|cover|restrict)\\\\\\\\b\\\"},\\\"attributes\\\":{\\\"begin\\\":\\\"(?<!@[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]?)\\\\\\\\(\\\\\\\\*\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.attribute.rounds.begin\\\"}},\\\"end\\\":\\\"\\\\\\\\*\\\\\\\\)\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.attribute.rounds.end\\\"}},\\\"name\\\":\\\"meta.attribute.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.assignment.systemverilog\\\"}},\\\"match\\\":\\\"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(=)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"}]},\\\"base-grammar\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#all-types\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.interface.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\\\\\t\\\\\\\\n]*\\\"},{\\\"include\\\":\\\"#storage-scope\\\"}]},\\\"bind-directive\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(bind)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"built-ins\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(bit|logic|reg)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.vector.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.atom.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(shortreal|real|realtime)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.notint.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.net.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.built-in.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.uvm.systemverilog\\\"}]},\\\"cast-operator\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.cast.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(')(?=\\\\\\\\()\\\",\\\"name\\\":\\\"meta.cast.systemverilog\\\"},\\\"class-declaration\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(virtual[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(static|automatic))?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(extends|implements)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"5\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"6\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.class.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.class.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(extends|implements)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*,[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.userdefined.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.param.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(#)\\\\\\\\(\\\",\\\"name\\\":\\\"meta.typedef.class.systemverilog\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"comments\\\":{\\\"patterns\\\":[{\\\"begin\\\":\\\"/\\\\\\\\*\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\*/\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"name\\\":\\\"comment.block.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#fixme-todo\\\"}]},{\\\"begin\\\":\\\"//\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"end\\\":\\\"$\\\\\\\\n?\\\",\\\"name\\\":\\\"comment.line.double-slash.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#fixme-todo\\\"}]}]},\\\"compiler-directives\\\":{\\\"name\\\":\\\"meta.preprocessor.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"match\\\":\\\"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\\\\\b\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"variable.other.constant.preprocessor.systemverilog\\\"}},\\\"match\\\":\\\"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"match\\\":\\\"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\\\\\b\\\"},{\\\"begin\\\":\\\"(`)(protected)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"end\\\":\\\"(`)(endprotected)\\\\\\\\b\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"name\\\":\\\"meta.crypto.systemverilog\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"variable.other.constant.preprocessor.systemverilog\\\"}},\\\"match\\\":\\\"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\"}]},\\\"constants\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"(\\\\\\\\b[1-9][0-9_]*)?'([sS]?[bB][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\\\\\+|-)?\\\\\\\\d+)?(?!'|\\\\\\\\w)\\\",\\\"name\\\":\\\"constant.numeric.systemverilog\\\"},{\\\"match\\\":\\\"'[01xXzZ]\\\",\\\"name\\\":\\\"constant.numeric.bit.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d_\\\\\\\\.]*(?<!\\\\\\\\.)(?:e|E)(?:\\\\\\\\+|-)?\\\\\\\\d+)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.exp.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d_\\\\\\\\.]*(?!(?:[\\\\\\\\d\\\\\\\\.]|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.decimal.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d\\\\\\\\.]*[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.time.systemverilog\\\"},{\\\"include\\\":\\\"#compiler-directives\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:this|super|null)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b([A-Z][A-Z0-9_]*)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.other.net.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?<!\\\\\\\\.)([A-Z0-9_]+)(?!\\\\\\\\.)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.parameter.uppercase.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\.\\\\\\\\*\\\",\\\"name\\\":\\\"keyword.operator.quantifier.regexp\\\"}]},\\\"enum-struct-union\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(enum|struct|union(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+tagged)?|class|interface[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(packed))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(signed|unsigned))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:{|$))\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"}]},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"4\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"5\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\"(?<=})[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]))(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[,;]\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"name\\\":\\\"meta.enum-struct-union.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"fixme-todo\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"(?i:fixme)\\\",\\\"name\\\":\\\"invalid.broken.fixme.systemverilog\\\"},{\\\"match\\\":\\\"(?i:todo)\\\",\\\"name\\\":\\\"invalid.unimplemented.todo.systemverilog\\\"}]},\\\"function-task\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b(virtual)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(?:\\\\\\\\b(function|task)\\\\\\\\b)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(static|automatic)\\\\\\\\b)?\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.function.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.function.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.function.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"6\\\":{\\\"name\\\":\\\"entity.name.function.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(?:\\\\\\\\b(signed|unsigned)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)(?=\\\\\\\\(|;)\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"functions\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\()\\\",\\\"name\\\":\\\"entity.name.function.systemverilog\\\"},\\\"identifiers\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\\\\\b\\\",\\\"name\\\":\\\"variable.other.identifier.systemverilog\\\"},{\\\"match\\\":\\\"(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\",\\\"name\\\":\\\"string.regexp.identifier.systemverilog\\\"}]},\\\"imports\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(import|export)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\\\\\*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(::)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\\\\\*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(,|;)\\\",\\\"name\\\":\\\"meta.import.systemverilog\\\"},\\\"keywords\\\":{\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.other.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\\\\\b\\\"},{\\\"include\\\":\\\"#sv-control\\\"},{\\\"include\\\":\\\"#sv-control-begin\\\"},{\\\"include\\\":\\\"#sv-control-end\\\"},{\\\"include\\\":\\\"#sv-definition\\\"},{\\\"include\\\":\\\"#sv-cover-cross\\\"},{\\\"include\\\":\\\"#sv-std\\\"},{\\\"include\\\":\\\"#sv-option\\\"},{\\\"include\\\":\\\"#sv-local\\\"},{\\\"include\\\":\\\"#sv-rand\\\"}]},\\\"modifiers\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"module-binding\\\":{\\\"begin\\\":\\\"\\\\\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\(\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.function.port.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\),?\\\",\\\"name\\\":\\\"meta.port.binding.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-declaration\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?:(static|automatic)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.module.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#parameters\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#imports\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-no-parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(bind|pullup|pulldown)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?)?((?:\\\\\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=\\\\\\\\(|$)(?!;)\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"end\\\":\\\"\\\\\\\\)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(;))?\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.module.instantiation.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.no_parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\(|$))\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(bind)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(;))?\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.module.instantiation.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\()\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#parameters\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*$)\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"operators\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\+=|-=|/=|\\\\\\\\*=|%=|&=|\\\\\\\\|=|\\\\\\\\^=|>>>=|>>=|<<<=|<<=|<=|=\\\",\\\"name\\\":\\\"keyword.operator.assignment.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\+\\\\\\\\+\\\",\\\"name\\\":\\\"keyword.operator.increment.systemverilog\\\"},{\\\"match\\\":\\\"--\\\",\\\"name\\\":\\\"keyword.operator.decrement.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\+|-|\\\\\\\\*\\\\\\\\*|\\\\\\\\*|/|%\\\",\\\"name\\\":\\\"keyword.operator.arithmetic.systemverilog\\\"},{\\\"match\\\":\\\"!|&&|\\\\\\\\|\\\\\\\\|\\\",\\\"name\\\":\\\"keyword.operator.logical.systemverilog\\\"},{\\\"match\\\":\\\"<<<|<<|>>>|>>\\\",\\\"name\\\":\\\"keyword.operator.bitwise.shift.systemverilog\\\"},{\\\"match\\\":\\\"~&|~\\\\\\\\||~|\\\\\\\\^~|~\\\\\\\\^|&|\\\\\\\\||\\\\\\\\^|{|'{|}|:|\\\\\\\\?\\\",\\\"name\\\":\\\"keyword.operator.bitwise.systemverilog\\\"},{\\\"match\\\":\\\"<=|<|>=|>|==\\\\\\\\?|!=\\\\\\\\?|===|!==|==|!=\\\",\\\"name\\\":\\\"keyword.operator.comparison.systemverilog\\\"},{\\\"match\\\":\\\"@|##|#|->|<->\\\",\\\"name\\\":\\\"keyword.operator.channel.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\\\\\b|:=|:/|\\\\\\\\|->|\\\\\\\\|=>|->>|\\\\\\\\*>|#-#|#=#|&&&\\\",\\\"name\\\":\\\"keyword.operator.logical.systemverilog\\\"}]},\\\"parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(#)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\()\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.operator.channel.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.section.parameters.begin\\\"}},\\\"end\\\":\\\"(\\\\\\\\))[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=;|\\\\\\\\(|[a-zA-Z_]|\\\\\\\\\\\\\\\\|$)\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.section.parameters.end\\\"}},\\\"name\\\":\\\"meta.parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#functions\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},{\\\"include\\\":\\\"#module-binding\\\"}]},\\\"port-net-parameter\\\":{\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.direction.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.net.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"6\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"7\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"8\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"9\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"match\\\":\\\",?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b(output|input|inout|ref)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b(signed|unsigned)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?<!(?<!#)[:&|=+\\\\\\\\-*/%?><^!~(][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=,|;|=|\\\\\\\\)|/|$)\\\",\\\"name\\\":\\\"meta.port-net-parameter.declaration.systemverilog\\\"}]},\\\"selects\\\":{\\\"begin\\\":\\\"\\\\\\\\[\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.slice.brackets.begin\\\"}},\\\"end\\\":\\\"\\\\\\\\]\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.slice.brackets.end\\\"}},\\\"name\\\":\\\"meta.brackets.select.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\$(?![a-z])\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"variable.other.identifier.systemverilog\\\"}]},\\\"sequence\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.function.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(sequence)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.sequence.systemverilog\\\"},\\\"storage-scope\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)\\\",\\\"name\\\":\\\"meta.scope.systemverilog\\\"},\\\"strings\\\":{\\\"patterns\\\":[{\\\"begin\\\":\\\"`?\\\\\\\"\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.begin.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\"`?\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.end.systemverilog\\\"}},\\\"name\\\":\\\"string.quoted.double.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\\\\\\\\\(?:[nt\\\\\\\\\\\\\\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})\\\",\\\"name\\\":\\\"constant.character.escape.systemverilog\\\"},{\\\"match\\\":\\\"%(\\\\\\\\d+\\\\\\\\$)?['\\\\\\\\-+0 #]*[,;:_]?((-?\\\\\\\\d+)|\\\\\\\\*(-?\\\\\\\\d+\\\\\\\\$)?)?(\\\\\\\\.((-?\\\\\\\\d+)|\\\\\\\\*(-?\\\\\\\\d+\\\\\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]\\\",\\\"name\\\":\\\"constant.character.format.placeholder.systemverilog\\\"},{\\\"match\\\":\\\"%\\\",\\\"name\\\":\\\"invalid.illegal.placeholder.systemverilog\\\"},{\\\"include\\\":\\\"#fixme-todo\\\"}]},{\\\"begin\\\":\\\"(?<=include)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(<)\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.string.begin.systemverilog\\\"}},\\\"end\\\":\\\">\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.end.systemverilog\\\"}},\\\"name\\\":\\\"string.quoted.other.lt-gt.include.systemverilog\\\"}]},\\\"sv-control\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\\\\\b\\\"},\\\"sv-control-begin\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.section.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(begin|fork)\\\\\\\\b(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?\\\",\\\"name\\\":\\\"meta.item.begin.systemverilog\\\"},\\\"sv-control-end\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.section.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\\\\\b(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?\\\",\\\"name\\\":\\\"meta.item.end.systemverilog\\\"},\\\"sv-cover-cross\\\":{\\\"captures\\\":{\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.operator.other.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"}},\\\"match\\\":\\\"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:))?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(coverpoint|cross)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"sv-definition\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"sv-local\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.other.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\\\\\b\\\"},\\\"sv-option\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.cover.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(option)\\\\\\\\.\\\"},\\\"sv-rand\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:rand|randc)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.rand.systemverilog\\\"},\\\"sv-std\\\":{\\\"match\\\":\\\"\\\\\\\\b(std)\\\\\\\\b::\\\",\\\"name\\\":\\\"support.class.systemverilog\\\"},\\\"system-tf\\\":{\\\"match\\\":\\\"\\\\\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\\\\\b\\\",\\\"name\\\":\\\"support.function.systemverilog\\\"},\\\"tables\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(table)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.table.systemverilog.begin\\\"}},\\\"end\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(endtable)\\\\\\\\b\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.table.systemverilog.end\\\"}},\\\"name\\\":\\\"meta.table.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#comments\\\"},{\\\"match\\\":\\\"\\\\\\\\b[01xXbBrRfFpPnN]\\\\\\\\b\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"match\\\":\\\"[-*?]\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"constant.language.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\(([01xX?]{2})\\\\\\\\)\\\"},{\\\"match\\\":\\\":\\\",\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"typedef\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(typedef)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(signed|unsigned)\\\\\\\\b)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[a-zA-Z_\\\\\\\\\\\\\\\\])\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}]},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.typedef.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.typedef.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#identifiers\\\"},{\\\"include\\\":\\\"#selects\\\"}]},\\\"typedef-enum-struct-union\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(typedef)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(enum|struct|union(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+tagged)?|class|interface[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(packed))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(signed|unsigned))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:{|$))\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"5\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"6\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\"(?<=})[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]))(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[,;]\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"name\\\":\\\"meta.typedef-enum-struct-union.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]}},\\\"scopeName\\\":\\\"source.systemverilog\\\"}\"))\n\nexport default [\nlang\n]\n"],"names":["systemVerilog","Object","freeze","JSON","parse"],"mappings":"gIAEeA,EAAA,CAFFC,MAAAA,CAAOC,MAAOC,CAAAA,IAAAA,CAAKC,MAAM","x_google_ignoreList":[0]}
|
1
|
+
{"version":3,"file":"system-verilog-b3e652eb.cjs.js","sources":["../../../node_modules/shiki/dist/langs/system-verilog.mjs"],"sourcesContent":["const lang = Object.freeze(JSON.parse(\"{\\\"displayName\\\":\\\"SystemVerilog\\\",\\\"fileTypes\\\":[\\\"v\\\",\\\"vh\\\",\\\"sv\\\",\\\"svh\\\"],\\\"name\\\":\\\"system-verilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#typedef-enum-struct-union\\\"},{\\\"include\\\":\\\"#typedef\\\"},{\\\"include\\\":\\\"#functions\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#tables\\\"},{\\\"include\\\":\\\"#function-task\\\"},{\\\"include\\\":\\\"#module-declaration\\\"},{\\\"include\\\":\\\"#class-declaration\\\"},{\\\"include\\\":\\\"#enum-struct-union\\\"},{\\\"include\\\":\\\"#sequence\\\"},{\\\"include\\\":\\\"#all-types\\\"},{\\\"include\\\":\\\"#module-parameters\\\"},{\\\"include\\\":\\\"#module-no-parameters\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#assertion\\\"},{\\\"include\\\":\\\"#bind-directive\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"include\\\":\\\"#attributes\\\"},{\\\"include\\\":\\\"#imports\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#identifiers\\\"},{\\\"include\\\":\\\"#selects\\\"}],\\\"repository\\\":{\\\"all-types\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"assertion\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"entity.name.goto-label.php\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.sva.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(assert|assume|cover|restrict)\\\\\\\\b\\\"},\\\"attributes\\\":{\\\"begin\\\":\\\"(?<!@[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]?)\\\\\\\\(\\\\\\\\*\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.attribute.rounds.begin\\\"}},\\\"end\\\":\\\"\\\\\\\\*\\\\\\\\)\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.attribute.rounds.end\\\"}},\\\"name\\\":\\\"meta.attribute.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.assignment.systemverilog\\\"}},\\\"match\\\":\\\"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(=)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"}]},\\\"base-grammar\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#all-types\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.interface.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\\\\\t\\\\\\\\n]*\\\"},{\\\"include\\\":\\\"#storage-scope\\\"}]},\\\"bind-directive\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(bind)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"built-ins\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(bit|logic|reg)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.vector.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.atom.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(shortreal|real|realtime)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.notint.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.net.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.built-in.systemverilog\\\"},{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.uvm.systemverilog\\\"}]},\\\"cast-operator\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.cast.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(')(?=\\\\\\\\()\\\",\\\"name\\\":\\\"meta.cast.systemverilog\\\"},\\\"class-declaration\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(virtual[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(static|automatic))?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(extends|implements)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"5\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"6\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.class.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.class.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(extends|implements)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*,[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.userdefined.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.param.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(#)\\\\\\\\(\\\",\\\"name\\\":\\\"meta.typedef.class.systemverilog\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"comments\\\":{\\\"patterns\\\":[{\\\"begin\\\":\\\"/\\\\\\\\*\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\*/\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"name\\\":\\\"comment.block.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#fixme-todo\\\"}]},{\\\"begin\\\":\\\"//\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.comment.systemverilog\\\"}},\\\"end\\\":\\\"$\\\\\\\\n?\\\",\\\"name\\\":\\\"comment.line.double-slash.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#fixme-todo\\\"}]}]},\\\"compiler-directives\\\":{\\\"name\\\":\\\"meta.preprocessor.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"match\\\":\\\"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\\\\\b\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"variable.other.constant.preprocessor.systemverilog\\\"}},\\\"match\\\":\\\"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"match\\\":\\\"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\\\\\b\\\"},{\\\"begin\\\":\\\"(`)(protected)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"end\\\":\\\"(`)(endprotected)\\\\\\\\b\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"string.regexp.systemverilog\\\"}},\\\"name\\\":\\\"meta.crypto.systemverilog\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.directive.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"variable.other.constant.preprocessor.systemverilog\\\"}},\\\"match\\\":\\\"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\"}]},\\\"constants\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"(\\\\\\\\b[1-9][0-9_]*)?'([sS]?[bB][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\\\\\+|-)?\\\\\\\\d+)?(?!'|\\\\\\\\w)\\\",\\\"name\\\":\\\"constant.numeric.systemverilog\\\"},{\\\"match\\\":\\\"'[01xXzZ]\\\",\\\"name\\\":\\\"constant.numeric.bit.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d_\\\\\\\\.]*(?<!\\\\\\\\.)(?:e|E)(?:\\\\\\\\+|-)?\\\\\\\\d+)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.exp.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d_\\\\\\\\.]*(?!(?:[\\\\\\\\d\\\\\\\\.]|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.decimal.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:\\\\\\\\d[\\\\\\\\d\\\\\\\\.]*[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.time.systemverilog\\\"},{\\\"include\\\":\\\"#compiler-directives\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?:this|super|null)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b([A-Z][A-Z0-9_]*)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.other.net.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\b(?<!\\\\\\\\.)([A-Z0-9_]+)(?!\\\\\\\\.)\\\\\\\\b\\\",\\\"name\\\":\\\"constant.numeric.parameter.uppercase.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\.\\\\\\\\*\\\",\\\"name\\\":\\\"keyword.operator.quantifier.regexp\\\"}]},\\\"enum-struct-union\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(enum|struct|union(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+tagged)?|class|interface[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(packed))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(signed|unsigned))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:{|$))\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"}]},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"4\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"5\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\"(?<=})[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]))(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[,;]\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"name\\\":\\\"meta.enum-struct-union.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"fixme-todo\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"(?i:fixme)\\\",\\\"name\\\":\\\"invalid.broken.fixme.systemverilog\\\"},{\\\"match\\\":\\\"(?i:todo)\\\",\\\"name\\\":\\\"invalid.unimplemented.todo.systemverilog\\\"}]},\\\"function-task\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b(virtual)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(?:\\\\\\\\b(function|task)\\\\\\\\b)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(static|automatic)\\\\\\\\b)?\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.function.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.function.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.function.systemverilog\\\",\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"6\\\":{\\\"name\\\":\\\"entity.name.function.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?(?:\\\\\\\\b(signed|unsigned)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)(?=\\\\\\\\(|;)\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"functions\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\()\\\",\\\"name\\\":\\\"entity.name.function.systemverilog\\\"},\\\"identifiers\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\\\\\b\\\",\\\"name\\\":\\\"variable.other.identifier.systemverilog\\\"},{\\\"match\\\":\\\"(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\",\\\"name\\\":\\\"string.regexp.identifier.systemverilog\\\"}]},\\\"imports\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(import|export)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\\\\\*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(::)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\\\\\*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(,|;)\\\",\\\"name\\\":\\\"meta.import.systemverilog\\\"},\\\"keywords\\\":{\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.other.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\\\\\b\\\"},{\\\"include\\\":\\\"#sv-control\\\"},{\\\"include\\\":\\\"#sv-control-begin\\\"},{\\\"include\\\":\\\"#sv-control-end\\\"},{\\\"include\\\":\\\"#sv-definition\\\"},{\\\"include\\\":\\\"#sv-cover-cross\\\"},{\\\"include\\\":\\\"#sv-std\\\"},{\\\"include\\\":\\\"#sv-option\\\"},{\\\"include\\\":\\\"#sv-local\\\"},{\\\"include\\\":\\\"#sv-rand\\\"}]},\\\"modifiers\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"module-binding\\\":{\\\"begin\\\":\\\"\\\\\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\(\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.function.port.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\),?\\\",\\\"name\\\":\\\"meta.port.binding.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-declaration\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?:(static|automatic)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.module.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#parameters\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#imports\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-no-parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(bind|pullup|pulldown)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?)?((?:\\\\\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=\\\\\\\\(|$)(?!;)\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"end\\\":\\\"\\\\\\\\)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(;))?\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.module.instantiation.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.no_parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\(|$))\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"module-parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(bind)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\\\\\.]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.type.module.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\\)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(;))?\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.module.instantiation.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.module.parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\()\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#module-binding\\\"},{\\\"include\\\":\\\"#parameters\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*$)\\\",\\\"name\\\":\\\"variable.other.module.systemverilog\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"operators\\\":{\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\\\\\b|:=|:/|\\\\\\\\|->|\\\\\\\\|=>|->>|\\\\\\\\*>|#-#|#=#|&&&\\\",\\\"name\\\":\\\"keyword.operator.logical.systemverilog\\\"},{\\\"match\\\":\\\"@|##|#|->|<->\\\",\\\"name\\\":\\\"keyword.operator.channel.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\+=|-=|/=|\\\\\\\\*=|%=|&=|\\\\\\\\|=|\\\\\\\\^=|>>>=|>>=|<<<=|<<=|<=|=\\\",\\\"name\\\":\\\"keyword.operator.assignment.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\+\\\\\\\\+\\\",\\\"name\\\":\\\"keyword.operator.increment.systemverilog\\\"},{\\\"match\\\":\\\"--\\\",\\\"name\\\":\\\"keyword.operator.decrement.systemverilog\\\"},{\\\"match\\\":\\\"\\\\\\\\+|-|\\\\\\\\*\\\\\\\\*|\\\\\\\\*|/|%\\\",\\\"name\\\":\\\"keyword.operator.arithmetic.systemverilog\\\"},{\\\"match\\\":\\\"!|&&|\\\\\\\\|\\\\\\\\|\\\",\\\"name\\\":\\\"keyword.operator.logical.systemverilog\\\"},{\\\"match\\\":\\\"<<<|<<|>>>|>>\\\",\\\"name\\\":\\\"keyword.operator.bitwise.shift.systemverilog\\\"},{\\\"match\\\":\\\"~&|~\\\\\\\\||~|\\\\\\\\^~|~\\\\\\\\^|&|\\\\\\\\||\\\\\\\\^|{|'{|}|:|\\\\\\\\?\\\",\\\"name\\\":\\\"keyword.operator.bitwise.systemverilog\\\"},{\\\"match\\\":\\\"<=|<|>=|>|==\\\\\\\\?|!=\\\\\\\\?|===|!==|==|!=\\\",\\\"name\\\":\\\"keyword.operator.comparison.systemverilog\\\"}]},\\\"parameters\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(#)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\()\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.operator.channel.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.section.parameters.begin\\\"}},\\\"end\\\":\\\"(\\\\\\\\))[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=;|\\\\\\\\(|[a-zA-Z_]|\\\\\\\\\\\\\\\\|$)\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.section.parameters.end\\\"}},\\\"name\\\":\\\"meta.parameters.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#comments\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#functions\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},{\\\"include\\\":\\\"#module-binding\\\"}]},\\\"port-net-parameter\\\":{\\\"patterns\\\":[{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.direction.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"storage.type.net.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"},\\\"5\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"storage.type.user-defined.systemverilog\\\"}]},\\\"6\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"7\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"8\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"9\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"match\\\":\\\",?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:\\\\\\\\b(output|input|inout|ref)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:\\\\\\\\b(signed|unsigned)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?:(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)?(?<!(?<!#)[:&|=+\\\\\\\\-*/%?><^!~(][ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*)\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?=,|;|=|\\\\\\\\)|/|$)\\\",\\\"name\\\":\\\"meta.port-net-parameter.declaration.systemverilog\\\"}]},\\\"selects\\\":{\\\"begin\\\":\\\"\\\\\\\\[\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.slice.brackets.begin\\\"}},\\\"end\\\":\\\"\\\\\\\\]\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.slice.brackets.end\\\"}},\\\"name\\\":\\\"meta.brackets.select.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\$(?![a-z])\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"include\\\":\\\"#system-tf\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#cast-operator\\\"},{\\\"include\\\":\\\"#storage-scope\\\"},{\\\"match\\\":\\\"[a-zA-Z_][a-zA-Z0-9_$]*\\\",\\\"name\\\":\\\"variable.other.identifier.systemverilog\\\"}]},\\\"sequence\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.function.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(sequence)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.sequence.systemverilog\\\"},\\\"storage-scope\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"support.type.scope.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.operator.scope.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)\\\",\\\"name\\\":\\\"meta.scope.systemverilog\\\"},\\\"strings\\\":{\\\"patterns\\\":[{\\\"begin\\\":\\\"`?\\\\\\\"\\\",\\\"beginCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.begin.systemverilog\\\"}},\\\"end\\\":\\\"\\\\\\\"`?\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.end.systemverilog\\\"}},\\\"name\\\":\\\"string.quoted.double.systemverilog\\\",\\\"patterns\\\":[{\\\"match\\\":\\\"\\\\\\\\\\\\\\\\(?:[nt\\\\\\\\\\\\\\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})\\\",\\\"name\\\":\\\"constant.character.escape.systemverilog\\\"},{\\\"match\\\":\\\"%(\\\\\\\\d+\\\\\\\\$)?['\\\\\\\\-+0 #]*[,;:_]?((-?\\\\\\\\d+)|\\\\\\\\*(-?\\\\\\\\d+\\\\\\\\$)?)?(\\\\\\\\.((-?\\\\\\\\d+)|\\\\\\\\*(-?\\\\\\\\d+\\\\\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]\\\",\\\"name\\\":\\\"constant.character.format.placeholder.systemverilog\\\"},{\\\"match\\\":\\\"%\\\",\\\"name\\\":\\\"invalid.illegal.placeholder.systemverilog\\\"},{\\\"include\\\":\\\"#fixme-todo\\\"}]},{\\\"begin\\\":\\\"(?<=include)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(<)\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"punctuation.definition.string.begin.systemverilog\\\"}},\\\"end\\\":\\\">\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.string.end.systemverilog\\\"}},\\\"name\\\":\\\"string.quoted.other.lt-gt.include.systemverilog\\\"}]},\\\"sv-control\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\\\\\b\\\"},\\\"sv-control-begin\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.section.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(begin|fork)\\\\\\\\b(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?\\\",\\\"name\\\":\\\"meta.item.begin.systemverilog\\\"},\\\"sv-control-end\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"entity.name.section.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\\\\\b(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?\\\",\\\"name\\\":\\\"meta.item.end.systemverilog\\\"},\\\"sv-cover-cross\\\":{\\\"captures\\\":{\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"},\\\"3\\\":{\\\"name\\\":\\\"keyword.operator.other.systemverilog\\\"},\\\"4\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"}},\\\"match\\\":\\\"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(:))?[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(coverpoint|cross)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"sv-definition\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"entity.name.type.class.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\\\\\b\\\",\\\"name\\\":\\\"meta.definition.systemverilog\\\"},\\\"sv-local\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.other.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\\\\\b\\\"},\\\"sv-option\\\":{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.cover.systemverilog\\\"}},\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(option)\\\\\\\\.\\\"},\\\"sv-rand\\\":{\\\"match\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:rand|randc)\\\\\\\\b\\\",\\\"name\\\":\\\"storage.type.rand.systemverilog\\\"},\\\"sv-std\\\":{\\\"match\\\":\\\"\\\\\\\\b(std)\\\\\\\\b::\\\",\\\"name\\\":\\\"support.class.systemverilog\\\"},\\\"system-tf\\\":{\\\"match\\\":\\\"\\\\\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\\\\\b\\\",\\\"name\\\":\\\"support.function.systemverilog\\\"},\\\"tables\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(table)\\\\\\\\b\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.table.systemverilog.begin\\\"}},\\\"end\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(endtable)\\\\\\\\b\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.table.systemverilog.end\\\"}},\\\"name\\\":\\\"meta.table.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#comments\\\"},{\\\"match\\\":\\\"\\\\\\\\b[01xXbBrRfFpPnN]\\\\\\\\b\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"match\\\":\\\"[-*?]\\\",\\\"name\\\":\\\"constant.language.systemverilog\\\"},{\\\"captures\\\":{\\\"1\\\":{\\\"name\\\":\\\"constant.language.systemverilog\\\"}},\\\"match\\\":\\\"\\\\\\\\(([01xX?]{2})\\\\\\\\)\\\"},{\\\"match\\\":\\\":\\\",\\\"name\\\":\\\"punctuation.definition.label.systemverilog\\\"},{\\\"include\\\":\\\"#operators\\\"},{\\\"include\\\":\\\"#constants\\\"},{\\\"include\\\":\\\"#strings\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]},\\\"typedef\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(?:(typedef)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+\\\\\\\\b(signed|unsigned)\\\\\\\\b)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[a-zA-Z_\\\\\\\\\\\\\\\\])\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"},{\\\"match\\\":\\\"\\\\\\\\bvirtual\\\\\\\\b\\\",\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}]},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#modifiers\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"end\\\":\\\";\\\",\\\"endCaptures\\\":{\\\"0\\\":{\\\"name\\\":\\\"punctuation.definition.typedef.end.systemverilog\\\"}},\\\"name\\\":\\\"meta.typedef.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#identifiers\\\"},{\\\"include\\\":\\\"#selects\\\"}]},\\\"typedef-enum-struct-union\\\":{\\\"begin\\\":\\\"[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*\\\\\\\\b(typedef)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(enum|struct|union(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+tagged)?|class|interface[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+class)(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(packed))?(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]+(signed|unsigned))?(?=[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(?:{|$))\\\",\\\"beginCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"2\\\":{\\\"name\\\":\\\"keyword.control.systemverilog\\\"},\\\"3\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#built-ins\\\"}]},\\\"4\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]},\\\"5\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"},\\\"6\\\":{\\\"name\\\":\\\"storage.modifier.systemverilog\\\"}},\\\"end\\\":\\\"(?<=})[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n])\\\\\\\\\\\\\\\\[!-~]+(?=$|[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]))(?:[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*(\\\\\\\\[[a-zA-Z0-9_:$\\\\\\\\.\\\\\\\\-+\\\\\\\\*/%`' \\\\\\\\t\\\\\\\\r\\\\\\\\n\\\\\\\\[\\\\\\\\]()]*\\\\\\\\])?)[ \\\\\\\\t\\\\\\\\r\\\\\\\\n]*[,;]\\\",\\\"endCaptures\\\":{\\\"1\\\":{\\\"name\\\":\\\"storage.type.systemverilog\\\"},\\\"2\\\":{\\\"patterns\\\":[{\\\"include\\\":\\\"#selects\\\"}]}},\\\"name\\\":\\\"meta.typedef-enum-struct-union.systemverilog\\\",\\\"patterns\\\":[{\\\"include\\\":\\\"#port-net-parameter\\\"},{\\\"include\\\":\\\"#keywords\\\"},{\\\"include\\\":\\\"#base-grammar\\\"},{\\\"include\\\":\\\"#identifiers\\\"}]}},\\\"scopeName\\\":\\\"source.systemverilog\\\"}\"))\n\nexport default [\nlang\n]\n"],"names":["systemVerilog","Object","freeze","JSON","parse"],"mappings":"gIAEeA,EAAA,CAFFC,MAAAA,CAAOC,MAAOC,CAAAA,IAAAA,CAAKC,MAAM","x_google_ignoreList":[0]}
|
@@ -1 +1 @@
|
|
1
|
-
var e=[Object.freeze(JSON.parse('{"displayName":"SystemVerilog","fileTypes":["v","vh","sv","svh"],"name":"system-verilog","patterns":[{"include":"#comments"},{"include":"#strings"},{"include":"#typedef-enum-struct-union"},{"include":"#typedef"},{"include":"#functions"},{"include":"#keywords"},{"include":"#tables"},{"include":"#function-task"},{"include":"#module-declaration"},{"include":"#class-declaration"},{"include":"#enum-struct-union"},{"include":"#sequence"},{"include":"#all-types"},{"include":"#module-parameters"},{"include":"#module-no-parameters"},{"include":"#port-net-parameter"},{"include":"#system-tf"},{"include":"#assertion"},{"include":"#bind-directive"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"include":"#attributes"},{"include":"#imports"},{"include":"#operators"},{"include":"#constants"},{"include":"#identifiers"},{"include":"#selects"}],"repository":{"all-types":{"patterns":[{"include":"#built-ins"},{"include":"#modifiers"}]},"assertion":{"captures":{"1":{"name":"entity.name.goto-label.php"},"2":{"name":"keyword.operator.systemverilog"},"3":{"name":"keyword.sva.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*(assert|assume|cover|restrict)\\\\b"},"attributes":{"begin":"(?<!@[ \\\\t\\\\r\\\\n]?)\\\\(\\\\*","beginCaptures":{"0":{"name":"punctuation.attribute.rounds.begin"}},"end":"\\\\*\\\\)","endCaptures":{"0":{"name":"punctuation.attribute.rounds.end"}},"name":"meta.attribute.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.operator.assignment.systemverilog"}},"match":"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(=)[ \\\\t\\\\r\\\\n]*)?"},{"include":"#constants"},{"include":"#strings"}]},"base-grammar":{"patterns":[{"include":"#all-types"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"captures":{"1":{"name":"storage.type.interface.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\t\\\\n]*"},{"include":"#storage-scope"}]},"bind-directive":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)\\\\b","name":"meta.definition.systemverilog"},"built-ins":{"patterns":[{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bit|logic|reg)\\\\b","name":"storage.type.vector.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\b","name":"storage.type.atom.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(shortreal|real|realtime)\\\\b","name":"storage.type.notint.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b","name":"storage.type.net.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\b","name":"storage.type.built-in.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\b","name":"storage.type.uvm.systemverilog"}]},"cast-operator":{"captures":{"1":{"patterns":[{"include":"#built-ins"},{"include":"#constants"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"2":{"name":"keyword.operator.cast.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(\')(?=\\\\()","name":"meta.cast.systemverilog"},"class-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(virtual[ \\\\t\\\\r\\\\n]+)?(class)(?:[ \\\\t\\\\r\\\\n]+(static|automatic))?[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]+(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.class.systemverilog"},"3":{"name":"storage.modifier.systemverilog"},"4":{"name":"entity.name.type.class.systemverilog"},"5":{"name":"keyword.control.systemverilog"},"6":{"name":"entity.name.type.class.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.class.end.systemverilog"}},"name":"meta.class.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]*,[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*"},{"captures":{"1":{"name":"storage.type.userdefined.systemverilog"},"2":{"name":"keyword.operator.param.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(#)\\\\(","name":"meta.typedef.class.systemverilog"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#module-binding"},{"include":"#identifiers"}]},"comments":{"patterns":[{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"name":"comment.block.systemverilog","patterns":[{"include":"#fixme-todo"}]},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"$\\\\n?","name":"comment.line.double-slash.systemverilog","patterns":[{"include":"#fixme-todo"}]}]},"compiler-directives":{"name":"meta.preprocessor.systemverilog","patterns":[{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"},"3":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\b"},{"begin":"(`)(protected)\\\\b","beginCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"end":"(`)(endprotected)\\\\b","endCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"name":"meta.crypto.systemverilog"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"}]},"constants":{"patterns":[{"match":"(\\\\b[1-9][0-9_]*)?\'([sS]?[bB][ \\\\t\\\\r\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\t\\\\r\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\t\\\\r\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\t\\\\r\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\+|-)?\\\\d+)?(?!\'|\\\\w)","name":"constant.numeric.systemverilog"},{"match":"\'[01xXzZ]","name":"constant.numeric.bit.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?<!\\\\.)(?:e|E)(?:\\\\+|-)?\\\\d+)\\\\b","name":"constant.numeric.exp.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?!(?:[\\\\d\\\\.]|[ \\\\t\\\\r\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\b","name":"constant.numeric.decimal.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d\\\\.]*[ \\\\t\\\\r\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\b","name":"constant.numeric.time.systemverilog"},{"include":"#compiler-directives"},{"match":"\\\\b(?:this|super|null)\\\\b","name":"constant.language.systemverilog"},{"match":"\\\\b([A-Z][A-Z0-9_]*)\\\\b","name":"constant.other.net.systemverilog"},{"match":"\\\\b(?<!\\\\.)([A-Z0-9_]+)(?!\\\\.)\\\\b","name":"constant.numeric.parameter.uppercase.systemverilog"},{"match":"\\\\.\\\\*","name":"keyword.operator.quantifier.regexp"}]},"enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"}]},"3":{"patterns":[{"include":"#selects"}]},"4":{"name":"storage.modifier.systemverilog"},"5":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"patterns":[{"include":"#identifiers"}]},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.enum-struct-union.systemverilog","patterns":[{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"fixme-todo":{"patterns":[{"match":"(?i:fixme)","name":"invalid.broken.fixme.systemverilog"},{"match":"(?i:todo)","name":"invalid.unimplemented.todo.systemverilog"}]},"function-task":{"begin":"[ \\\\t\\\\r\\\\n]*(?:\\\\b(virtual)[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(function|task)\\\\b)(?:[ \\\\t\\\\r\\\\n]+\\\\b(static|automatic)\\\\b)?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.function.systemverilog"},"3":{"name":"storage.modifier.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.function.end.systemverilog"}},"name":"meta.function.systemverilog","patterns":[{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"},"3":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"4":{"patterns":[{"include":"#modifiers"}]},"5":{"patterns":[{"include":"#selects"}]},"6":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\b[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)(?=\\\\(|;)"},{"include":"#keywords"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"functions":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"entity.name.function.systemverilog"},"identifiers":{"patterns":[{"match":"\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\b","name":"variable.other.identifier.systemverilog"},{"match":"(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n])","name":"string.regexp.identifier.systemverilog"}]},"imports":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"support.type.scope.systemverilog"},"3":{"name":"keyword.operator.scope.systemverilog"},"4":{"patterns":[{"include":"#operators"},{"include":"#identifiers"}]}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(import|export)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(::)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(,|;)","name":"meta.import.systemverilog"},"keywords":{"patterns":[{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\b"},{"include":"#sv-control"},{"include":"#sv-control-begin"},{"include":"#sv-control-end"},{"include":"#sv-definition"},{"include":"#sv-cover-cross"},{"include":"#sv-std"},{"include":"#sv-option"},{"include":"#sv-local"},{"include":"#sv-rand"}]},"modifiers":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\b","name":"storage.modifier.systemverilog"},"module-binding":{"begin":"\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*\\\\(","beginCaptures":{"1":{"name":"support.function.port.systemverilog"}},"end":"\\\\),?","name":"meta.port.binding.systemverilog","patterns":[{"include":"#constants"},{"include":"#comments"},{"include":"#operators"},{"include":"#strings"},{"include":"#constants"},{"include":"#storage-scope"},{"include":"#cast-operator"},{"include":"#system-tf"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#identifiers"}]},"module-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\t\\\\r\\\\n]+(?:(static|automatic)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"storage.modifier.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.module.end.systemverilog"}},"name":"meta.module.systemverilog","patterns":[{"include":"#parameters"},{"include":"#port-net-parameter"},{"include":"#imports"},{"include":"#base-grammar"},{"include":"#system-tf"},{"include":"#identifiers"}]},"module-no-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind|pullup|pulldown)[ \\\\t\\\\r\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?)?((?:\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*(?=\\\\(|$)(?!;)","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"},"4":{"name":"variable.other.module.systemverilog"},"5":{"patterns":[{"include":"#selects"}]}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.no_parameters.systemverilog","patterns":[{"include":"#module-binding"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*(\\\\(|$))","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"module-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.parameters.systemverilog","patterns":[{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"variable.other.module.systemverilog"},{"include":"#module-binding"},{"include":"#parameters"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*$)","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"operators":{"patterns":[{"match":"\\\\+=|-=|/=|\\\\*=|%=|&=|\\\\|=|\\\\^=|>>>=|>>=|<<<=|<<=|<=|=","name":"keyword.operator.assignment.systemverilog"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.systemverilog"},{"match":"--","name":"keyword.operator.decrement.systemverilog"},{"match":"\\\\+|-|\\\\*\\\\*|\\\\*|/|%","name":"keyword.operator.arithmetic.systemverilog"},{"match":"!|&&|\\\\|\\\\|","name":"keyword.operator.logical.systemverilog"},{"match":"<<<|<<|>>>|>>","name":"keyword.operator.bitwise.shift.systemverilog"},{"match":"~&|~\\\\||~|\\\\^~|~\\\\^|&|\\\\||\\\\^|{|\'{|}|:|\\\\?","name":"keyword.operator.bitwise.systemverilog"},{"match":"<=|<|>=|>|==\\\\?|!=\\\\?|===|!==|==|!=","name":"keyword.operator.comparison.systemverilog"},{"match":"@|##|#|->|<->","name":"keyword.operator.channel.systemverilog"},{"match":"\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\b|:=|:/|\\\\|->|\\\\|=>|->>|\\\\*>|#-#|#=#|&&&","name":"keyword.operator.logical.systemverilog"}]},"parameters":{"begin":"[ \\\\t\\\\r\\\\n]*(#)[ \\\\t\\\\r\\\\n]*(\\\\()","beginCaptures":{"1":{"name":"keyword.operator.channel.systemverilog"},"2":{"name":"punctuation.section.parameters.begin"}},"end":"(\\\\))[ \\\\t\\\\r\\\\n]*(?=;|\\\\(|[a-zA-Z_]|\\\\\\\\|$)","endCaptures":{"1":{"name":"punctuation.section.parameters.end"}},"name":"meta.parameters.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#comments"},{"include":"#constants"},{"include":"#operators"},{"include":"#strings"},{"include":"#system-tf"},{"include":"#functions"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#module-binding"}]},"port-net-parameter":{"patterns":[{"captures":{"1":{"name":"support.type.direction.systemverilog"},"2":{"name":"storage.type.net.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"6":{"patterns":[{"include":"#modifiers"}]},"7":{"patterns":[{"include":"#selects"}]},"8":{"patterns":[{"include":"#constants"},{"include":"#identifiers"}]},"9":{"patterns":[{"include":"#selects"}]}},"match":",?[ \\\\t\\\\r\\\\n]*(?:\\\\b(output|input|inout|ref)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?<!(?<!#)[:&|=+\\\\-*/%?><^!~(][ \\\\t\\\\r\\\\n]*)\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?[ \\\\t\\\\r\\\\n]*(?=,|;|=|\\\\)|/|$)","name":"meta.port-net-parameter.declaration.systemverilog"}]},"selects":{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.slice.brackets.begin"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.slice.brackets.end"}},"name":"meta.brackets.select.systemverilog","patterns":[{"match":"\\\\$(?![a-z])","name":"constant.language.systemverilog"},{"include":"#system-tf"},{"include":"#constants"},{"include":"#operators"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"variable.other.identifier.systemverilog"}]},"sequence":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(sequence)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.sequence.systemverilog"},"storage-scope":{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)","name":"meta.scope.systemverilog"},"strings":{"patterns":[{"begin":"`?\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":"\\"`?","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.double.systemverilog","patterns":[{"match":"\\\\\\\\(?:[nt\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})","name":"constant.character.escape.systemverilog"},{"match":"%(\\\\d+\\\\$)?[\'\\\\-+0 #]*[,;:_]?((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?(\\\\.((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]","name":"constant.character.format.placeholder.systemverilog"},{"match":"%","name":"invalid.illegal.placeholder.systemverilog"},{"include":"#fixme-todo"}]},{"begin":"(?<=include)[ \\\\t\\\\r\\\\n]*(<)","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.other.lt-gt.include.systemverilog"}]},"sv-control":{"captures":{"1":{"name":"keyword.control.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\b"},"sv-control-begin":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(begin|fork)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.begin.systemverilog"},"sv-control-end":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.end.systemverilog"},"sv-cover-cross":{"captures":{"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"},"4":{"name":"keyword.control.systemverilog"}},"match":"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:))?[ \\\\t\\\\r\\\\n]*(coverpoint|cross)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)","name":"meta.definition.systemverilog"},"sv-definition":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.definition.systemverilog"},"sv-local":{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\b"},"sv-option":{"captures":{"1":{"name":"keyword.cover.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(option)\\\\."},"sv-rand":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:rand|randc)\\\\b","name":"storage.type.rand.systemverilog"},"sv-std":{"match":"\\\\b(std)\\\\b::","name":"support.class.systemverilog"},"system-tf":{"match":"\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\b","name":"support.function.systemverilog"},"tables":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(table)\\\\b","beginCaptures":{"1":{"name":"keyword.table.systemverilog.begin"}},"end":"[ \\\\t\\\\r\\\\n]*\\\\b(endtable)\\\\b","endCaptures":{"1":{"name":"keyword.table.systemverilog.end"}},"name":"meta.table.systemverilog","patterns":[{"include":"#comments"},{"match":"\\\\b[01xXbBrRfFpPnN]\\\\b","name":"constant.language.systemverilog"},{"match":"[-*?]","name":"constant.language.systemverilog"},{"captures":{"1":{"name":"constant.language.systemverilog"}},"match":"\\\\(([01xX?]{2})\\\\)"},{"match":":","name":"punctuation.definition.label.systemverilog"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#identifiers"}]},"typedef":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(typedef)[ \\\\t\\\\r\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]+\\\\b(signed|unsigned)\\\\b)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?=[ \\\\t\\\\r\\\\n]*[a-zA-Z_\\\\\\\\])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"}]},"3":{"patterns":[{"include":"#modifiers"}]},"4":{"patterns":[{"include":"#selects"}]}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.typedef.end.systemverilog"}},"name":"meta.typedef.systemverilog","patterns":[{"include":"#identifiers"},{"include":"#selects"}]},"typedef-enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(typedef)[ \\\\t\\\\r\\\\n]+(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"patterns":[{"include":"#built-ins"}]},"4":{"patterns":[{"include":"#selects"}]},"5":{"name":"storage.modifier.systemverilog"},"6":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"name":"storage.type.systemverilog"},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.typedef-enum-struct-union.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]}},"scopeName":"source.systemverilog"}'))];export{e as default};
|
1
|
+
var e=[Object.freeze(JSON.parse('{"displayName":"SystemVerilog","fileTypes":["v","vh","sv","svh"],"name":"system-verilog","patterns":[{"include":"#comments"},{"include":"#strings"},{"include":"#typedef-enum-struct-union"},{"include":"#typedef"},{"include":"#functions"},{"include":"#keywords"},{"include":"#tables"},{"include":"#function-task"},{"include":"#module-declaration"},{"include":"#class-declaration"},{"include":"#enum-struct-union"},{"include":"#sequence"},{"include":"#all-types"},{"include":"#module-parameters"},{"include":"#module-no-parameters"},{"include":"#port-net-parameter"},{"include":"#system-tf"},{"include":"#assertion"},{"include":"#bind-directive"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"include":"#attributes"},{"include":"#imports"},{"include":"#operators"},{"include":"#constants"},{"include":"#identifiers"},{"include":"#selects"}],"repository":{"all-types":{"patterns":[{"include":"#built-ins"},{"include":"#modifiers"}]},"assertion":{"captures":{"1":{"name":"entity.name.goto-label.php"},"2":{"name":"keyword.operator.systemverilog"},"3":{"name":"keyword.sva.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*(assert|assume|cover|restrict)\\\\b"},"attributes":{"begin":"(?<!@[ \\\\t\\\\r\\\\n]?)\\\\(\\\\*","beginCaptures":{"0":{"name":"punctuation.attribute.rounds.begin"}},"end":"\\\\*\\\\)","endCaptures":{"0":{"name":"punctuation.attribute.rounds.end"}},"name":"meta.attribute.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.operator.assignment.systemverilog"}},"match":"([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(=)[ \\\\t\\\\r\\\\n]*)?"},{"include":"#constants"},{"include":"#strings"}]},"base-grammar":{"patterns":[{"include":"#all-types"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"captures":{"1":{"name":"storage.type.interface.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+[a-zA-Z_][a-zA-Z0-9_,= \\\\t\\\\n]*"},{"include":"#storage-scope"}]},"bind-directive":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)\\\\b","name":"meta.definition.systemverilog"},"built-ins":{"patterns":[{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(bit|logic|reg)\\\\b","name":"storage.type.vector.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(byte|shortint|int|longint|integer|time|genvar)\\\\b","name":"storage.type.atom.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(shortreal|real|realtime)\\\\b","name":"storage.type.notint.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b","name":"storage.type.net.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(genvar|var|void|signed|unsigned|string|const|process)\\\\b","name":"storage.type.built-in.systemverilog"},{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(uvm_(?:root|transaction|component|monitor|driver|test|env|object|agent|sequence_base|sequence_item|sequence_state|sequencer|sequencer_base|sequence|component_registry|analysis_imp|analysis_port|analysis_export|config_db|active_passive_enum|phase|verbosity|tlm_analysis_fifo|tlm_fifo|report_server|objection|recorder|domain|reg_field|reg_block|reg|bitstream_t|radix_enum|printer|packer|comparer|scope_stack))\\\\b","name":"storage.type.uvm.systemverilog"}]},"cast-operator":{"captures":{"1":{"patterns":[{"include":"#built-ins"},{"include":"#constants"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"2":{"name":"keyword.operator.cast.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(\\\\d+|[a-zA-Z_][a-zA-Z0-9_$]*)(\')(?=\\\\()","name":"meta.cast.systemverilog"},"class-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(virtual[ \\\\t\\\\r\\\\n]+)?(class)(?:[ \\\\t\\\\r\\\\n]+(static|automatic))?[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]+(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*))?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.class.systemverilog"},"3":{"name":"storage.modifier.systemverilog"},"4":{"name":"entity.name.type.class.systemverilog"},"5":{"name":"keyword.control.systemverilog"},"6":{"name":"entity.name.type.class.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.class.end.systemverilog"}},"name":"meta.class.systemverilog","patterns":[{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b(extends|implements)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$:]*)(?:[ \\\\t\\\\r\\\\n]*,[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$:]*))*"},{"captures":{"1":{"name":"storage.type.userdefined.systemverilog"},"2":{"name":"keyword.operator.param.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(#)\\\\(","name":"meta.typedef.class.systemverilog"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#module-binding"},{"include":"#identifiers"}]},"comments":{"patterns":[{"begin":"/\\\\*","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"\\\\*/","endCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"name":"comment.block.systemverilog","patterns":[{"include":"#fixme-todo"}]},{"begin":"//","beginCaptures":{"0":{"name":"punctuation.definition.comment.systemverilog"}},"end":"$\\\\n?","name":"comment.line.double-slash.systemverilog","patterns":[{"include":"#fixme-todo"}]}]},"compiler-directives":{"name":"meta.preprocessor.systemverilog","patterns":[{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(else|endif|endcelldefine|celldefine|nounconnected_drive|resetall|undefineall|end_keywords|__FILE__|__LINE__)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"},"3":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)(ifdef|ifndef|elsif|define|undef|pragma)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"match":"(`)(include|timescale|default_nettype|unconnected_drive|line|begin_keywords)\\\\b"},{"begin":"(`)(protected)\\\\b","beginCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"end":"(`)(endprotected)\\\\b","endCaptures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"string.regexp.systemverilog"}},"name":"meta.crypto.systemverilog"},{"captures":{"1":{"name":"punctuation.definition.directive.systemverilog"},"2":{"name":"variable.other.constant.preprocessor.systemverilog"}},"match":"(`)([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b"}]},"constants":{"patterns":[{"match":"(\\\\b[1-9][0-9_]*)?\'([sS]?[bB][ \\\\t\\\\r\\\\n]*[0-1xXzZ?][0-1_xXzZ?]*|[sS]?[oO][ \\\\t\\\\r\\\\n]*[0-7xXzZ?][0-7_xXzZ?]*|[sS]?[dD][ \\\\t\\\\r\\\\n]*[0-9xXzZ?][0-9_xXzZ?]*|[sS]?[hH][ \\\\t\\\\r\\\\n]*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\\\+|-)?\\\\d+)?(?!\'|\\\\w)","name":"constant.numeric.systemverilog"},{"match":"\'[01xXzZ]","name":"constant.numeric.bit.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?<!\\\\.)(?:e|E)(?:\\\\+|-)?\\\\d+)\\\\b","name":"constant.numeric.exp.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d_\\\\.]*(?!(?:[\\\\d\\\\.]|[ \\\\t\\\\r\\\\n]*(?:e|E|fs|ps|ns|us|ms|s))))\\\\b","name":"constant.numeric.decimal.systemverilog"},{"match":"\\\\b(?:\\\\d[\\\\d\\\\.]*[ \\\\t\\\\r\\\\n]*(?:fs|ps|ns|us|ms|s))\\\\b","name":"constant.numeric.time.systemverilog"},{"include":"#compiler-directives"},{"match":"\\\\b(?:this|super|null)\\\\b","name":"constant.language.systemverilog"},{"match":"\\\\b([A-Z][A-Z0-9_]*)\\\\b","name":"constant.other.net.systemverilog"},{"match":"\\\\b(?<!\\\\.)([A-Z0-9_]+)(?!\\\\.)\\\\b","name":"constant.numeric.parameter.uppercase.systemverilog"},{"match":"\\\\.\\\\*","name":"keyword.operator.quantifier.regexp"}]},"enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"}]},"3":{"patterns":[{"include":"#selects"}]},"4":{"name":"storage.modifier.systemverilog"},"5":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"patterns":[{"include":"#identifiers"}]},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.enum-struct-union.systemverilog","patterns":[{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"fixme-todo":{"patterns":[{"match":"(?i:fixme)","name":"invalid.broken.fixme.systemverilog"},{"match":"(?i:todo)","name":"invalid.unimplemented.todo.systemverilog"}]},"function-task":{"begin":"[ \\\\t\\\\r\\\\n]*(?:\\\\b(virtual)[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(function|task)\\\\b)(?:[ \\\\t\\\\r\\\\n]+\\\\b(static|automatic)\\\\b)?","beginCaptures":{"1":{"name":"storage.modifier.systemverilog"},"2":{"name":"storage.type.function.systemverilog"},"3":{"name":"storage.modifier.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.function.end.systemverilog"}},"name":"meta.function.systemverilog","patterns":[{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"},"3":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"4":{"patterns":[{"include":"#modifiers"}]},"5":{"patterns":[{"include":"#selects"}]},"6":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?([a-zA-Z_][a-zA-Z0-9_$]*\\\\b[ \\\\t\\\\r\\\\n]+)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)(?=\\\\(|;)"},{"include":"#keywords"},{"include":"#port-net-parameter"},{"include":"#base-grammar"},{"include":"#identifiers"}]},"functions":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?!while|for|if|iff|else|case|casex|casez)([a-zA-Z_][a-zA-Z0-9_$]*)(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"entity.name.function.systemverilog"},"identifiers":{"patterns":[{"match":"\\\\b[a-zA-Z_][a-zA-Z0-9_$]*\\\\b","name":"variable.other.identifier.systemverilog"},{"match":"(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n])","name":"string.regexp.identifier.systemverilog"}]},"imports":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"support.type.scope.systemverilog"},"3":{"name":"keyword.operator.scope.systemverilog"},"4":{"patterns":[{"include":"#operators"},{"include":"#identifiers"}]}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(import|export)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(::)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|\\\\*)[ \\\\t\\\\r\\\\n]*(,|;)","name":"meta.import.systemverilog"},"keywords":{"patterns":[{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(edge|negedge|posedge|cell|config|defparam|design|disable|endgenerate|endspecify|event|generate|ifnone|incdir|instance|liblist|library|noshowcancelled|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|use|vectored)\\\\b"},{"include":"#sv-control"},{"include":"#sv-control-begin"},{"include":"#sv-control-end"},{"include":"#sv-definition"},{"include":"#sv-cover-cross"},{"include":"#sv-std"},{"include":"#sv-option"},{"include":"#sv-local"},{"include":"#sv-rand"}]},"modifiers":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(?:un)?signed|packed|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\\\b","name":"storage.modifier.systemverilog"},"module-binding":{"begin":"\\\\.([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*\\\\(","beginCaptures":{"1":{"name":"support.function.port.systemverilog"}},"end":"\\\\),?","name":"meta.port.binding.systemverilog","patterns":[{"include":"#constants"},{"include":"#comments"},{"include":"#operators"},{"include":"#strings"},{"include":"#constants"},{"include":"#storage-scope"},{"include":"#cast-operator"},{"include":"#system-tf"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#identifiers"}]},"module-declaration":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b((?:macro)?module|interface|program|package|modport)[ \\\\t\\\\r\\\\n]+(?:(static|automatic)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"storage.modifier.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.module.end.systemverilog"}},"name":"meta.module.systemverilog","patterns":[{"include":"#parameters"},{"include":"#port-net-parameter"},{"include":"#imports"},{"include":"#base-grammar"},{"include":"#system-tf"},{"include":"#identifiers"}]},"module-no-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind|pullup|pulldown)[ \\\\t\\\\r\\\\n]+(?:([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?)?((?:\\\\b(?:and|nand|or|nor|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|r?tran|r?tranif[01])\\\\b|[a-zA-Z_][a-zA-Z0-9_$]*))[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*(?=\\\\(|$)(?!;)","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"},"4":{"name":"variable.other.module.systemverilog"},"5":{"patterns":[{"include":"#selects"}]}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.no_parameters.systemverilog","patterns":[{"include":"#module-binding"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*(\\\\(|$))","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"module-parameters":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(bind)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$\\\\.]*)[ \\\\t\\\\r\\\\n]+)?([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]+(?!intersect|and|or|throughout|within)(?=#[^#])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"3":{"name":"entity.name.type.module.systemverilog"}},"end":"\\\\)(?:[ \\\\t\\\\r\\\\n]*(;))?","endCaptures":{"1":{"name":"punctuation.module.instantiation.end.systemverilog"}},"name":"meta.module.parameters.systemverilog","patterns":[{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*\\\\()","name":"variable.other.module.systemverilog"},{"include":"#module-binding"},{"include":"#parameters"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#port-net-parameter"},{"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b(?=[ \\\\t\\\\r\\\\n]*$)","name":"variable.other.module.systemverilog"},{"include":"#identifiers"}]},"operators":{"patterns":[{"match":"\\\\b(?:dist|inside|with|intersect|and|or|throughout|within|first_match)\\\\b|:=|:/|\\\\|->|\\\\|=>|->>|\\\\*>|#-#|#=#|&&&","name":"keyword.operator.logical.systemverilog"},{"match":"@|##|#|->|<->","name":"keyword.operator.channel.systemverilog"},{"match":"\\\\+=|-=|/=|\\\\*=|%=|&=|\\\\|=|\\\\^=|>>>=|>>=|<<<=|<<=|<=|=","name":"keyword.operator.assignment.systemverilog"},{"match":"\\\\+\\\\+","name":"keyword.operator.increment.systemverilog"},{"match":"--","name":"keyword.operator.decrement.systemverilog"},{"match":"\\\\+|-|\\\\*\\\\*|\\\\*|/|%","name":"keyword.operator.arithmetic.systemverilog"},{"match":"!|&&|\\\\|\\\\|","name":"keyword.operator.logical.systemverilog"},{"match":"<<<|<<|>>>|>>","name":"keyword.operator.bitwise.shift.systemverilog"},{"match":"~&|~\\\\||~|\\\\^~|~\\\\^|&|\\\\||\\\\^|{|\'{|}|:|\\\\?","name":"keyword.operator.bitwise.systemverilog"},{"match":"<=|<|>=|>|==\\\\?|!=\\\\?|===|!==|==|!=","name":"keyword.operator.comparison.systemverilog"}]},"parameters":{"begin":"[ \\\\t\\\\r\\\\n]*(#)[ \\\\t\\\\r\\\\n]*(\\\\()","beginCaptures":{"1":{"name":"keyword.operator.channel.systemverilog"},"2":{"name":"punctuation.section.parameters.begin"}},"end":"(\\\\))[ \\\\t\\\\r\\\\n]*(?=;|\\\\(|[a-zA-Z_]|\\\\\\\\|$)","endCaptures":{"1":{"name":"punctuation.section.parameters.end"}},"name":"meta.parameters.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#comments"},{"include":"#constants"},{"include":"#operators"},{"include":"#strings"},{"include":"#system-tf"},{"include":"#functions"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"},{"include":"#module-binding"}]},"port-net-parameter":{"patterns":[{"captures":{"1":{"name":"support.type.direction.systemverilog"},"2":{"name":"storage.type.net.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"patterns":[{"include":"#built-ins"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"storage.type.user-defined.systemverilog"}]},"6":{"patterns":[{"include":"#modifiers"}]},"7":{"patterns":[{"include":"#selects"}]},"8":{"patterns":[{"include":"#constants"},{"include":"#identifiers"}]},"9":{"patterns":[{"include":"#selects"}]}},"match":",?[ \\\\t\\\\r\\\\n]*(?:\\\\b(output|input|inout|ref)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(localparam|parameter|var|supply[01]|tri|triand|trior|trireg|tri[01]|uwire|wire|wand|wor)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::))?(?:([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:\\\\b(signed|unsigned)\\\\b[ \\\\t\\\\r\\\\n]*)?(?:(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])[ \\\\t\\\\r\\\\n]*)?(?<!(?<!#)[:&|=+\\\\-*/%?><^!~(][ \\\\t\\\\r\\\\n]*)\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?[ \\\\t\\\\r\\\\n]*(?=,|;|=|\\\\)|/|$)","name":"meta.port-net-parameter.declaration.systemverilog"}]},"selects":{"begin":"\\\\[","beginCaptures":{"0":{"name":"punctuation.slice.brackets.begin"}},"end":"\\\\]","endCaptures":{"0":{"name":"punctuation.slice.brackets.end"}},"name":"meta.brackets.select.systemverilog","patterns":[{"match":"\\\\$(?![a-z])","name":"constant.language.systemverilog"},{"include":"#system-tf"},{"include":"#constants"},{"include":"#operators"},{"include":"#cast-operator"},{"include":"#storage-scope"},{"match":"[a-zA-Z_][a-zA-Z0-9_$]*","name":"variable.other.identifier.systemverilog"}]},"sequence":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(sequence)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.sequence.systemverilog"},"storage-scope":{"captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}},"match":"\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)(::)","name":"meta.scope.systemverilog"},"strings":{"patterns":[{"begin":"`?\\"","beginCaptures":{"0":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":"\\"`?","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.double.systemverilog","patterns":[{"match":"\\\\\\\\(?:[nt\\\\\\\\\\"vfa]|[0-7]{3}|x[0-9a-fA-F]{2})","name":"constant.character.escape.systemverilog"},{"match":"%(\\\\d+\\\\$)?[\'\\\\-+0 #]*[,;:_]?((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?(\\\\.((-?\\\\d+)|\\\\*(-?\\\\d+\\\\$)?)?)?(hh|h|ll|l|j|z|t|L)?[xXhHdDoObBcClLvVmMpPsStTuUzZeEfFgG%]","name":"constant.character.format.placeholder.systemverilog"},{"match":"%","name":"invalid.illegal.placeholder.systemverilog"},{"include":"#fixme-todo"}]},{"begin":"(?<=include)[ \\\\t\\\\r\\\\n]*(<)","beginCaptures":{"1":{"name":"punctuation.definition.string.begin.systemverilog"}},"end":">","endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}},"name":"string.quoted.other.lt-gt.include.systemverilog"}]},"sv-control":{"captures":{"1":{"name":"keyword.control.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(initial|always|always_comb|always_ff|always_latch|final|assign|deassign|force|release|wait|forever|repeat|alias|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|clocking|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|matches|solve|before|expect|cross|ref|srandom|struct|chandle|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|wait_order|triggered|randsequence|context|pure|wildcard|new|forkjoin|unique|unique0|priority)\\\\b"},"sv-control-begin":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(begin|fork)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.begin.systemverilog"},"sv-control-end":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"punctuation.definition.label.systemverilog"},"3":{"name":"entity.name.section.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(end|endmodule|endinterface|endprogram|endchecker|endclass|endpackage|endconfig|endfunction|endtask|endproperty|endsequence|endgroup|endprimitive|endclocking|endgenerate|join|join_any|join_none)\\\\b(?:[ \\\\t\\\\r\\\\n]*(:)[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*))?","name":"meta.item.end.systemverilog"},"sv-cover-cross":{"captures":{"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"},"4":{"name":"keyword.control.systemverilog"}},"match":"(([a-zA-Z_][a-zA-Z0-9_$]*)[ \\\\t\\\\r\\\\n]*(:))?[ \\\\t\\\\r\\\\n]*(coverpoint|cross)[ \\\\t\\\\r\\\\n]+([a-zA-Z_][a-zA-Z0-9_$]*)","name":"meta.definition.systemverilog"},"sv-definition":{"captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(primitive|package|constraint|interface|covergroup|program)[ \\\\t\\\\r\\\\n]+\\\\b([a-zA-Z_][a-zA-Z0-9_$]*)\\\\b","name":"meta.definition.systemverilog"},"sv-local":{"captures":{"1":{"name":"keyword.other.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(const|static|protected|virtual|localparam|parameter|local)\\\\b"},"sv-option":{"captures":{"1":{"name":"keyword.cover.systemverilog"}},"match":"[ \\\\t\\\\r\\\\n]*\\\\b(option)\\\\."},"sv-rand":{"match":"[ \\\\t\\\\r\\\\n]*\\\\b(?:rand|randc)\\\\b","name":"storage.type.rand.systemverilog"},"sv-std":{"match":"\\\\b(std)\\\\b::","name":"support.class.systemverilog"},"system-tf":{"match":"\\\\$[a-zA-Z0-9_$][a-zA-Z0-9_$]*\\\\b","name":"support.function.systemverilog"},"tables":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(table)\\\\b","beginCaptures":{"1":{"name":"keyword.table.systemverilog.begin"}},"end":"[ \\\\t\\\\r\\\\n]*\\\\b(endtable)\\\\b","endCaptures":{"1":{"name":"keyword.table.systemverilog.end"}},"name":"meta.table.systemverilog","patterns":[{"include":"#comments"},{"match":"\\\\b[01xXbBrRfFpPnN]\\\\b","name":"constant.language.systemverilog"},{"match":"[-*?]","name":"constant.language.systemverilog"},{"captures":{"1":{"name":"constant.language.systemverilog"}},"match":"\\\\(([01xX?]{2})\\\\)"},{"match":":","name":"punctuation.definition.label.systemverilog"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"include":"#identifiers"}]},"typedef":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(?:(typedef)[ \\\\t\\\\r\\\\n]+)(?:([a-zA-Z_][a-zA-Z0-9_$]*)(?:[ \\\\t\\\\r\\\\n]+\\\\b(signed|unsigned)\\\\b)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?=[ \\\\t\\\\r\\\\n]*[a-zA-Z_\\\\\\\\])","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"patterns":[{"include":"#built-ins"},{"match":"\\\\bvirtual\\\\b","name":"storage.modifier.systemverilog"}]},"3":{"patterns":[{"include":"#modifiers"}]},"4":{"patterns":[{"include":"#selects"}]}},"end":";","endCaptures":{"0":{"name":"punctuation.definition.typedef.end.systemverilog"}},"name":"meta.typedef.systemverilog","patterns":[{"include":"#identifiers"},{"include":"#selects"}]},"typedef-enum-struct-union":{"begin":"[ \\\\t\\\\r\\\\n]*\\\\b(typedef)[ \\\\t\\\\r\\\\n]+(enum|struct|union(?:[ \\\\t\\\\r\\\\n]+tagged)?|class|interface[ \\\\t\\\\r\\\\n]+class)(?:[ \\\\t\\\\r\\\\n]+(?!packed|signed|unsigned)([a-zA-Z_][a-zA-Z0-9_$]*)?(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?))?(?:[ \\\\t\\\\r\\\\n]+(packed))?(?:[ \\\\t\\\\r\\\\n]+(signed|unsigned))?(?=[ \\\\t\\\\r\\\\n]*(?:{|$))","beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"patterns":[{"include":"#built-ins"}]},"4":{"patterns":[{"include":"#selects"}]},"5":{"name":"storage.modifier.systemverilog"},"6":{"name":"storage.modifier.systemverilog"}},"end":"(?<=})[ \\\\t\\\\r\\\\n]*([a-zA-Z_][a-zA-Z0-9_$]*|(?<=^|[ \\\\t\\\\r\\\\n])\\\\\\\\[!-~]+(?=$|[ \\\\t\\\\r\\\\n]))(?:[ \\\\t\\\\r\\\\n]*(\\\\[[a-zA-Z0-9_:$\\\\.\\\\-+\\\\*/%`\' \\\\t\\\\r\\\\n\\\\[\\\\]()]*\\\\])?)[ \\\\t\\\\r\\\\n]*[,;]","endCaptures":{"1":{"name":"storage.type.systemverilog"},"2":{"patterns":[{"include":"#selects"}]}},"name":"meta.typedef-enum-struct-union.systemverilog","patterns":[{"include":"#port-net-parameter"},{"include":"#keywords"},{"include":"#base-grammar"},{"include":"#identifiers"}]}},"scopeName":"source.systemverilog"}'))];export{e as default};
|