vhdl_tb 0.7.6 → 0.7.7

Sign up to get free protection for your applications and to get access to all the features.
Files changed (5) hide show
  1. checksums.yaml +4 -4
  2. data/lib/lexer.rb +1 -0
  3. data/lib/parser.rb +5 -1
  4. data/lib/version.rb +1 -1
  5. metadata +2 -2
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 5b1b9d7ce27de5b7de34e5a92a61b228bbef9acac3cca9536b1211b3659c63dc
4
- data.tar.gz: 741006590431fe61e59e607455c3bb9ec97694697b380da307084a78be4e9974
3
+ metadata.gz: 8c923a4fa6709c50c3884373bccd42bf10d10edf991433583a3e9049893e890a
4
+ data.tar.gz: 9472e003ed9122fc25119a14694773427b18f7f2815d9c6be109587fc4469284
5
5
  SHA512:
6
- metadata.gz: 455fb7d0147971fa0bf4da18c8b7c411f077ac49a900061a007e55320821c808d7253c51c62c76f41a6ce121020154e10e8aab02ebbdd0eb28284c44bc896879
7
- data.tar.gz: 8c79725261268d616f52cfbdaf94ff0c0f2a308a3565369570647f7fd135b1e5ada663536af2e0ee48cb909eeeb9832ae86d4cf4e8478f0e378166202f8fcf35
6
+ metadata.gz: efd367b33ef40a420bea6b2fc7466158d4b06003990e37ae4ef8b9f59ec24020c5d71a4b18e27a6aa370ca886a80ce4e635c7aa573e6a547dc244b4664a2d11a
7
+ data.tar.gz: 4a0d1c8094896ab286974dc0b7cc88d0d20b517fcaa5cbda9a3dfc2c0da5a786b089b079903c67a26967f0ee60283dfebee6da6b2cb408c1689db2680abebed1
@@ -138,6 +138,7 @@ module VHDL_TB
138
138
  token :lt => /\A\</
139
139
  token :urange => /\A<>/
140
140
  token :dot => /\A\./
141
+ token :bar => /\|/
141
142
  #............................................................
142
143
  token :newline => /[\n]/
143
144
  token :space => /[ \t\r]+/
@@ -22,8 +22,11 @@ module VHDL_TB
22
22
  str=IO.read(filename).downcase
23
23
  tokens=lexer.tokenize(str)
24
24
  tokens=tokens.select{|t| t.class==Token} # filters [nil,nil,nil]
25
- return tokens.reject{|tok| tok.is_a? [:comment,:newline,:space]}
25
+ tokens.reject!{|tok| tok.is_a? [:comment,:newline,:space]}
26
+ return tokens
26
27
  rescue Exception=>e
28
+ puts e.backtrace
29
+ puts e
27
30
  puts "an error occured during LEXICAL analysis. Sorry. Aborting."
28
31
  raise
29
32
  end
@@ -31,6 +34,7 @@ module VHDL_TB
31
34
 
32
35
  def parse filename
33
36
  @tokens=lex(filename)
37
+ #pp @tokens
34
38
  root=Root.new([])
35
39
  begin
36
40
  consume_to :entity
@@ -1,3 +1,3 @@
1
1
  module VHDL_TB
2
- VERSION="0.7.6"
2
+ VERSION="0.7.7"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.7.6
4
+ version: 0.7.7
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-12-04 00:00:00.000000000 Z
11
+ date: 2020-01-27 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple testbench generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr