vhdl_tb 0.7.1 → 0.7.2

Sign up to get free protection for your applications and to get access to all the features.
Files changed (4) hide show
  1. checksums.yaml +4 -4
  2. data/lib/lexer.rb +1 -1
  3. data/lib/version.rb +1 -1
  4. metadata +2 -2
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: b5c6a6e2dc9eec1e858d36aa4d1c7467ae22c0c8ee24910bc230ffc50ba409f4
4
- data.tar.gz: d29098424d3055528c4fe5b1e93158df56df4f886c6ed268a78e58852df3612b
3
+ metadata.gz: 3e53905bcf00e9d72f017e48c21f1ead6cfb8e13aa097e97a98ae2ddbf9b4eed
4
+ data.tar.gz: cd8005f45e17aacb791000ee71734bcff18529769f9a97c28eba538af9442ba2
5
5
  SHA512:
6
- metadata.gz: 59163613e1106f9e386ec27d2dc09f98a5cb0c903ef098c8dacb9ce9de91dc42036782c3af6e787d62f34d745668e2bb57cbebbfc1f3f25dcf9f434bd3cdf6f4
7
- data.tar.gz: 2b5be7ebff918648a8f78eaa0b194d6f344fbfcf8fa0a605ddadf76ca819914a092f4e352129789a72bc9191fe1f422e646436e02feffc952313749e699bf037
6
+ metadata.gz: 62dc23f83bc69a5bd4a39129209e4b221a7b16658aa029681ec446ab2a0ce29087e7623c0a2c6997e712f96613972602f97cddd108921751aaa5b2006f437f1e
7
+ data.tar.gz: 50789b2b231bc53ce83a0fbdf8ae22d4f5b800e59044028ba2dd9ba371e46ed4bd5fa70479bd2634a66c2e440f3c5dd9a9240e0bd8d5bf3e067b77de149e6732
@@ -107,7 +107,7 @@ module VHDL_TB
107
107
  keyword 'xorkeyword '
108
108
 
109
109
  #.............................................................
110
- token :comments => /\A\-\-(.*)$/
110
+ token :comment => /\A\-\-(.*)$/
111
111
  token :selected_name => /\w+(\.\w+)+/ # /\S+\w+\.\w+/
112
112
  token :identifier => /[a-zA-Z]\w*/
113
113
 
@@ -1,3 +1,3 @@
1
1
  module VHDL_TB
2
- VERSION="0.7.1"
2
+ VERSION="0.7.2"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.7.1
4
+ version: 0.7.2
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-02-25 00:00:00.000000000 Z
11
+ date: 2019-04-04 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple testbench generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr