vhdl_tb 0.3 → 0.4

Sign up to get free protection for your applications and to get access to all the features.
Files changed (4) hide show
  1. checksums.yaml +4 -4
  2. data/lib/template.tb.vhd +1 -0
  3. data/lib/vhdl_tb.rb +2 -2
  4. metadata +1 -1
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: 14002750b63d3563c04fd01838317c646040a886
4
- data.tar.gz: '09ad79c60cae41191b96011364cbaff889171e9e'
3
+ metadata.gz: 63557b1b90136b3f8306bd68a2107cda107e930a
4
+ data.tar.gz: e6acdf6957129cb2f774a1c638a121e3799f4f51
5
5
  SHA512:
6
- metadata.gz: b23d38e934a92487145f969bfc1419dd3841fac5d98520d4bd1e26724b543266636be5530ec20318f37703b6902c0c75d6111ab53041fb15d245d2b8bfec5390
7
- data.tar.gz: 5297fa15480d5d7b45575926dc8dc95b42c78fc8d199a6af8438665a6c7c532b54c2b5865b778b30c2f53206584ce0f04a1be8e9cecfa285ce3d4c7c07462071
6
+ metadata.gz: e0c7d92ccc71af281e69e78583a30e07831836bc41d8678e8ecc2b2dccf8e0f1eb0c790127b4aa0b9ac37f367e686975f23d2b206ec47d42f95e845ed0baf603
7
+ data.tar.gz: 5f9f354c7866312c98072a400ec02a5d24b1fee52669ec9ffd928d7c940f223220ee6c1f6b33854066fcffb0386b1e9cb7171b75066e4d11a635c67e545b4870
@@ -53,6 +53,7 @@ begin
53
53
  report "running testbench for <%=@entity.name%>(<%=@arch.name%>)";
54
54
  report "waiting for asynchronous reset";
55
55
  wait until reset_n='1';
56
+ wait_cycles(100);
56
57
  report "applying stimuli...";
57
58
  wait_cycles(100);
58
59
  report "end of simulation";
@@ -9,7 +9,7 @@ Testbench = Struct.new("Testbench",:name)
9
9
 
10
10
  class VhdlTb
11
11
 
12
- VERSION = "0.3"
12
+ VERSION = "0.4"
13
13
 
14
14
  def initialize
15
15
  #puts __dir__
@@ -70,7 +70,7 @@ class VhdlTb
70
70
  puts "analyzing VHDL file : #{entity_filename}"
71
71
  code=IO.read(entity_filename)
72
72
  regexp_entity=/entity\s+(\w+)\s+is\s+(generic\(.*\)\;\s+)?port\s*\(\s*(.*)\)\s*\;\s*end\s+/im
73
- pp entity_matched = regexp_entity.match(code)
73
+ entity_matched = regexp_entity.match(code)
74
74
  name,generics,iotext=*entity_matched.captures
75
75
 
76
76
  ioregexp=/(\w+)\s*:\s*(\w+)\s+(.*)\s*;?/ix
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.3'
4
+ version: '0.4'
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann