vhdl_help 0.2 → 0.3

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: a46442564326d5e19b8b18e624ea07097ef5a30d
4
- data.tar.gz: 258a98aad8ded9b3ea61f352d490f1f218aef925
3
+ metadata.gz: dc9ff642cff46fecb79dfa6a055afaa21c4cc798
4
+ data.tar.gz: 23db7b8291fa720f35273add71558048b67b3a23
5
5
  SHA512:
6
- metadata.gz: 20ffebb9b1e1ea63da45e39baf8707fe411170d43861bc1e4ff3632ba9017cccba39ffd74879e62fd17aa5e759b5fa4b6e9cac8a5782c7e4be79a011a01a8c33
7
- data.tar.gz: 4d5b961c1754dc4b25e9e55c8a345912b21d38e7bb5c99da69936eb624169dfed09ec4586275d22cfeb622385b2eb0f02d10d8f848ce5070cfd1ccb2d3f54b0f
6
+ metadata.gz: 8af0a219cce34d84d884804738c6eeee91a55160b7208a0ca872809c292cda985ce9edd84176d5acd73ac17d80b8217c16ca9701fa694de716dd8533f11dfb24
7
+ data.tar.gz: 3449b0c1bfd0726c1bb4c3bde1cd07ed514774361cb956e449693c94324196c456d9cfe44cd82eee8a7307efe076f240efab21c42220599ba2e43e89a69579eb
@@ -1,21 +1,40 @@
1
+ library ieee;
2
+ use ieee.std_logic_1164.all;
3
+ use ieee.numeric_std.all;
1
4
 
2
- architecture rtl of example_memory is
5
+ entity ram is
6
+ port(
7
+ reset_n : in std_logic;
8
+ clk : in std_logic;
9
+ wr : in std_logic;
10
+ address : in unsigned(7 downto 0);
11
+ datain : in std_logic_vector(7 downto 0);
12
+ dataout : out std_logic_vector(7 downto 0)
13
+ );
14
+ end entity;
3
15
 
4
- type memory_type is array(0 to 255) of std_logic_vector(7 downto 0);
5
- signal mem : memory_type;
16
+ architecture rtl of ram is
6
17
 
18
+ type memory_type is array(0 to 255) of std_logic_vector(7 downto 0);
19
+ signal mem : memory_type;
20
+ signal addr_r : unsigned(7 downto 0);
7
21
  begin
8
22
 
9
- write_p:process(reset_n,clk)
10
- if reset_n='0' then
23
+ write_p : process(reset_n, clk)
24
+ begin
25
+ if reset_n = '0' then
11
26
  for i in 0 to 255 loop
12
- mem(i) <= (others=>'0');
27
+ mem(i) <= (others => '0');
13
28
  end loop;
29
+ addr_r <= to_unsigned(0, 8);
14
30
  elsif rising_edge(clk) then
15
- if wr='1' then
16
- mem(to_integer(unsigned(addr)) <= datain;
31
+ if wr = '1' then
32
+ mem(to_integer(address)) <= datain;
17
33
  end if;
34
+ addr_r <= address;
18
35
  end if;
19
36
  end process;
20
37
 
38
+ dataout <= mem(to_integer(addr_r));
39
+
21
40
  end rtl;
data/lib/vhdl_helper.rb CHANGED
@@ -5,7 +5,7 @@ require 'optparse'
5
5
 
6
6
  class VhdlHelper
7
7
 
8
- VERSION = "0.2"
8
+ VERSION = "0.3"
9
9
 
10
10
  def initialize
11
11
  puts "-- "+"="*60
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.2'
4
+ version: '0.3'
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2017-10-19 00:00:00.000000000 Z
11
+ date: 2018-03-09 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple snippets generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr
@@ -49,7 +49,7 @@ required_rubygems_version: !ruby/object:Gem::Requirement
49
49
  version: '0'
50
50
  requirements: []
51
51
  rubyforge_project:
52
- rubygems_version: 2.6.12
52
+ rubygems_version: 2.6.14
53
53
  signing_key:
54
54
  specification_version: 4
55
55
  summary: VHDL Snippets Generator