vhdl_help 0.3 → 0.4

Sign up to get free protection for your applications and to get access to all the features.
Files changed (3) hide show
  1. checksums.yaml +4 -4
  2. data/lib/vhdl_helper.rb +2 -2
  3. metadata +2 -2
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: dc9ff642cff46fecb79dfa6a055afaa21c4cc798
4
- data.tar.gz: 23db7b8291fa720f35273add71558048b67b3a23
3
+ metadata.gz: d9f64f09ee417da21b947028d98c53aa64ade483
4
+ data.tar.gz: 48627fd8d9ee2c5ef4eb0afdc8691cc5afd75224
5
5
  SHA512:
6
- metadata.gz: 8af0a219cce34d84d884804738c6eeee91a55160b7208a0ca872809c292cda985ce9edd84176d5acd73ac17d80b8217c16ca9701fa694de716dd8533f11dfb24
7
- data.tar.gz: 3449b0c1bfd0726c1bb4c3bde1cd07ed514774361cb956e449693c94324196c456d9cfe44cd82eee8a7307efe076f240efab21c42220599ba2e43e89a69579eb
6
+ metadata.gz: 96dd39a46fea7f42f6e3e32cb0039c78bbbe7b39745f315a48cd41fe55bed4fb04c8c76853bf08daa6dcc19c3c98740a8e90f257393dc2f9e893b794e3db1927
7
+ data.tar.gz: 49b354b2feb6384b88fa0a26dc57bf30fbfebc41c65c5f6611795c85228731faf72fe402d2b688df3b10f3f2619a868d11de76ed3881b7936608c897fbad09ba
data/lib/vhdl_helper.rb CHANGED
@@ -5,11 +5,11 @@ require 'optparse'
5
5
 
6
6
  class VhdlHelper
7
7
 
8
- VERSION = "0.3"
8
+ VERSION = "0.4"
9
9
 
10
10
  def initialize
11
11
  puts "-- "+"="*60
12
- puts "-- VHDL Helper. #{VERSION}. JC Le Lann 2017"
12
+ puts "-- VHDL Helper. #{VERSION}. JC Le Lann 2017-2018"
13
13
  puts "-- "+"="*60
14
14
  @date = Time.now.strftime('%c')
15
15
  @options={}
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.3'
4
+ version: '0.4'
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2018-03-09 00:00:00.000000000 Z
11
+ date: 2018-06-01 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple snippets generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr