vertigo_vhdl 0.8.5 → 0.8.6

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 22b82b19e9b7744a6167a503e91914be19d58344d2853974a770933f559d6db6
4
- data.tar.gz: 837894bc8b71d9fee64ad6214f55eea1add4174795abe6c96ad5c50840ea49af
3
+ metadata.gz: 67916f8023b4daaaa9e3b2ee7405a880bbcd71ad70733b087ec4e0d1f63ccc2d
4
+ data.tar.gz: df9ae80fb38ea82afbfa7bc79d128448dc979342770a28493061463cae623d3e
5
5
  SHA512:
6
- metadata.gz: c7dc519c36112e25204c40399d25dac991c84887673e5a275aad94ab6c9127cfa6c02a67c6d6004754ae9669c4fe46dd316da69db12437c3ad8aba2531a6ca07
7
- data.tar.gz: c002acb04a1cd830eda4b70d1e66c3ae3fa9ccc36fe740742c874eb8c3103829426778b01685ce2a7cba001f1deaf0b3551638295361b1505050e1bbb1dd81be
6
+ metadata.gz: a13be7a1c2bfdd4ed210bceab2231700f409f1e1b274073631629bf20909298fe0989a2485a14b269ecfb5058c6c3df37a31ba9f880a8e7a7fd261e3b0524475
7
+ data.tar.gz: ec0ae03dfae2b65a5a7f7a03aacc80e43cac31517d20ec0668b0e7542894ca4335f6273f62aacfc4fa68fef3e2c3d4983c1dd716f2857212715112b73ae93e90
@@ -57,6 +57,15 @@ module Vertigo
57
57
  code << "end loop;"
58
58
  code.indent=2
59
59
  code << "end procedure;"
60
+ code.newline
61
+ code << "procedure toggle(signal s : inout std_logic) is"
62
+ code << "begin"
63
+ code << " wait until rising_edge(clk);"
64
+ code << " s <=not(s);"
65
+ code << " wait until rising_edge(clk);"
66
+ code << " s <=not(s);"
67
+ code << "end procedure;"
68
+ code.newline
60
69
  @entity.ports.each do |port|
61
70
  port_name=port.name.str.ljust(@max_length_str)
62
71
  port_type=port.type.str
@@ -1,3 +1,3 @@
1
1
  module Vertigo
2
- VERSION="0.8.5"
2
+ VERSION="0.8.6"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vertigo_vhdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.8.5
4
+ version: 0.8.6
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2021-01-23 00:00:00.000000000 Z
11
+ date: 2021-03-23 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A Ruby handwritten VHDL parser and utilities
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr