vertigo_vhdl 0.8.2 → 0.8.3

Sign up to get free protection for your applications and to get access to all the features.
Files changed (3) hide show
  1. checksums.yaml +4 -4
  2. data/lib/vertigo/version.rb +1 -1
  3. metadata +1 -1
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: f5ba8043aca76da72831078060182a4c8e80b986c7d3c0020872e0f7fbc166d5
4
- data.tar.gz: facc1e042ad028d75924b43718ea25abe1ed5384d6535fed110b3bc599277391
3
+ metadata.gz: a89b29c8454b54463030839f3d4c48511ecc8adf3ff869a22d3a0c40e0255c05
4
+ data.tar.gz: 974bdee997f8835d36bbcc8c63014ea238845ccb02b08d52f5d9bff9313481e1
5
5
  SHA512:
6
- metadata.gz: dd8fbbdee4bd9919466d6e41b8edd4d012a1c3e018a812337648e7afcf7a23e5cdb6596aaff909ca6548689343ecda33cd28d7cb512cc50531c010f5716a2643
7
- data.tar.gz: 337349a7c82934c101408dff9faabfbf2c2cb2fe7279f96e61d776b226e9d9f680f77c5e9545baca45d3ea0f2ab55bd3c2fdc11a3ae8ccebc8671788f526ca45
6
+ metadata.gz: ce1e5a6f2b6eec285b82f00285897d3f24ce5ac602b2fc38fc2b632f5200521c4f983359ea2e30918363669479f68bd5018573fe20d685b3161b71a329dc3f8c
7
+ data.tar.gz: f71199e632d26cdecf13e6d0db8ed28f4cba9413ecb1c21bfffdd28ccb0bf30abf77c465f81c81959ef59a7ce869d2cd3255e758cc7f22c71336143e838a5da0
@@ -1,3 +1,3 @@
1
1
  module Vertigo
2
- VERSION="0.8.2"
2
+ VERSION="0.8.3"
3
3
  end
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vertigo_vhdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.8.2
4
+ version: 0.8.3
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann