vertigo_vhdl 0.8.13 → 0.8.14

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 3f7eecaed2d4b5a72e742bb50665fe8dc039c540ba3ccf97a5c78938b3eadec4
4
- data.tar.gz: 04eaa1b607442048ef566c5bd067bf9c93e37bca35f8c60ed44301a6454423f1
3
+ metadata.gz: c8378d338d4ebc178cf5fec08f6aa2e0601cd0119bd684d29acf1980115fbed2
4
+ data.tar.gz: 965c56bc3bca0c562b6f11e55f498a31c720e68e56fac9b40a6d09d0eb2f885e
5
5
  SHA512:
6
- metadata.gz: b4d1b525899302571608d769efd34992e00310f9a851b920e82a39441e86fcfffa602f65ced5747c95acd5c75b44f610e190fe650dd1feb85acfe738c83ef8d7
7
- data.tar.gz: 95862bbfc72888c6f48433ac4c019fbbf8294543f2e3b5a2db3cffce76fe867172bc1fba446602900b8591906ad059b7051cac7cbeedf74881c9c13446b10d68
6
+ metadata.gz: ad5d0885aa192abba0fc562e62ff689efa2e7253950d113998165489bd5c06c6b85f4510852dedd01710e87afd4dc65b1d10ac8d03ef08d837e30d51c3358d95
7
+ data.tar.gz: 631cafa7550689910810e11677ab4138146e545dc64e2b7de0872f2b8f61abc05a74c700359f3c46b1e5c89c7b32114cf433f5274d0b5a9b4d4bd86a8bc68e2a
@@ -68,9 +68,9 @@ module Vertigo
68
68
  code.newline
69
69
  code << "procedure toggle(signal s : inout std_logic) is"
70
70
  code << "begin"
71
- code << " wait until rising_edge(clk);"
71
+ code << " wait until rising_edge(#{@clk_name});"
72
72
  code << " s <=not(s);"
73
- code << " wait until rising_edge(clk);"
73
+ code << " wait until rising_edge(#{@clk_name});"
74
74
  code << " s <=not(s);"
75
75
  code << "end procedure;"
76
76
  code.newline
@@ -1,3 +1,3 @@
1
1
  module Vertigo
2
- VERSION="0.8.13"
2
+ VERSION="0.8.14"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vertigo_vhdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.8.13
4
+ version: 0.8.14
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2023-10-18 00:00:00.000000000 Z
11
+ date: 2024-02-08 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A Ruby handwritten VHDL parser and utilities
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr