scrivito_codemirror_editor 1.0.0 → 1.1.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (246) hide show
  1. checksums.yaml +5 -5
  2. data/LICENSE +21 -165
  3. data/README.md +2 -2
  4. data/app/assets/javascripts/scrivito_codemirror_editor/codemirror_editor.js +29 -0
  5. data/lib/scrivito_codemirror_editor.rb +0 -2
  6. data/vendor/assets/javascripts/codemirror/addons/comment/comment.js +203 -0
  7. data/vendor/assets/javascripts/codemirror/addons/comment/continuecomment.js +85 -0
  8. data/vendor/assets/javascripts/codemirror/addons/dialog/dialog.js +157 -0
  9. data/vendor/assets/javascripts/codemirror/addons/display/autorefresh.js +47 -0
  10. data/vendor/assets/javascripts/codemirror/addons/display/fullscreen.js +41 -0
  11. data/vendor/assets/javascripts/codemirror/addons/display/panel.js +112 -0
  12. data/vendor/assets/javascripts/codemirror/addons/display/placeholder.js +62 -0
  13. data/vendor/assets/javascripts/codemirror/addons/display/rulers.js +51 -0
  14. data/vendor/assets/javascripts/codemirror/addons/edit/closebrackets.js +195 -0
  15. data/vendor/assets/javascripts/codemirror/addons/edit/closetag.js +169 -0
  16. data/vendor/assets/javascripts/codemirror/addons/edit/continuelist.js +51 -0
  17. data/vendor/assets/javascripts/codemirror/addons/edit/matchbrackets.js +120 -0
  18. data/vendor/assets/javascripts/codemirror/addons/edit/matchtags.js +66 -0
  19. data/vendor/assets/javascripts/codemirror/addons/edit/trailingspace.js +27 -0
  20. data/vendor/assets/javascripts/codemirror/addons/fold/brace-fold.js +105 -0
  21. data/vendor/assets/javascripts/codemirror/addons/fold/comment-fold.js +59 -0
  22. data/vendor/assets/javascripts/codemirror/addons/fold/foldcode.js +150 -0
  23. data/vendor/assets/javascripts/codemirror/addons/fold/foldgutter.js +146 -0
  24. data/vendor/assets/javascripts/codemirror/addons/fold/indent-fold.js +44 -0
  25. data/vendor/assets/javascripts/codemirror/addons/fold/markdown-fold.js +49 -0
  26. data/vendor/assets/javascripts/codemirror/addons/fold/xml-fold.js +182 -0
  27. data/vendor/assets/javascripts/codemirror/addons/hint/anyword-hint.js +41 -0
  28. data/vendor/assets/javascripts/codemirror/addons/hint/css-hint.js +60 -0
  29. data/vendor/assets/javascripts/codemirror/addons/hint/html-hint.js +348 -0
  30. data/vendor/assets/javascripts/codemirror/addons/hint/javascript-hint.js +146 -0
  31. data/vendor/assets/javascripts/codemirror/addons/hint/show-hint.js +437 -0
  32. data/vendor/assets/javascripts/codemirror/addons/hint/sql-hint.js +271 -0
  33. data/vendor/assets/javascripts/codemirror/addons/hint/xml-hint.js +110 -0
  34. data/vendor/assets/javascripts/codemirror/addons/lint/coffeescript-lint.js +41 -0
  35. data/vendor/assets/javascripts/codemirror/addons/lint/css-lint.js +35 -0
  36. data/vendor/assets/javascripts/codemirror/addons/lint/html-lint.js +46 -0
  37. data/vendor/assets/javascripts/codemirror/addons/lint/javascript-lint.js +136 -0
  38. data/vendor/assets/javascripts/codemirror/addons/lint/json-lint.js +31 -0
  39. data/vendor/assets/javascripts/codemirror/addons/lint/lint.js +239 -0
  40. data/vendor/assets/javascripts/codemirror/addons/lint/yaml-lint.js +28 -0
  41. data/vendor/assets/javascripts/codemirror/addons/merge/merge.js +773 -0
  42. data/vendor/assets/javascripts/codemirror/addons/mode/loadmode.js +64 -0
  43. data/vendor/assets/javascripts/codemirror/addons/mode/multiplex.js +123 -0
  44. data/vendor/assets/javascripts/codemirror/addons/mode/overlay.js +85 -0
  45. data/vendor/assets/javascripts/codemirror/addons/mode/simple.js +213 -0
  46. data/vendor/assets/javascripts/codemirror/addons/runmode/colorize.js +40 -0
  47. data/vendor/assets/javascripts/codemirror/addons/runmode/runmode-standalone.js +157 -0
  48. data/vendor/assets/javascripts/codemirror/addons/runmode/runmode.js +72 -0
  49. data/vendor/assets/javascripts/codemirror/addons/runmode/runmode.node.js +179 -0
  50. data/vendor/assets/javascripts/codemirror/addons/scroll/annotatescrollbar.js +118 -0
  51. data/vendor/assets/javascripts/codemirror/addons/scroll/scrollpastend.js +48 -0
  52. data/vendor/assets/javascripts/codemirror/addons/scroll/simplescrollbars.js +152 -0
  53. data/vendor/assets/javascripts/codemirror/addons/search/jump-to-line.js +49 -0
  54. data/vendor/assets/javascripts/codemirror/addons/search/match-highlighter.js +146 -0
  55. data/vendor/assets/javascripts/codemirror/addons/search/matchesonscrollbar.js +97 -0
  56. data/vendor/assets/javascripts/codemirror/addons/search/search.js +249 -0
  57. data/vendor/assets/javascripts/codemirror/addons/search/searchcursor.js +189 -0
  58. data/vendor/assets/javascripts/codemirror/addons/selection/active-line.js +74 -0
  59. data/vendor/assets/javascripts/codemirror/addons/selection/mark-selection.js +118 -0
  60. data/vendor/assets/javascripts/codemirror/addons/selection/selection-pointer.js +98 -0
  61. data/vendor/assets/javascripts/codemirror/addons/tern/tern.js +701 -0
  62. data/vendor/assets/javascripts/codemirror/addons/tern/worker.js +44 -0
  63. data/vendor/assets/javascripts/codemirror/addons/wrap/hardwrap.js +144 -0
  64. data/vendor/assets/javascripts/codemirror/keymaps/emacs.js +412 -0
  65. data/vendor/assets/javascripts/codemirror/keymaps/sublime.js +580 -0
  66. data/vendor/assets/javascripts/codemirror/keymaps/vim.js +5065 -0
  67. data/vendor/assets/javascripts/codemirror/modes/apl.js +174 -0
  68. data/vendor/assets/javascripts/codemirror/modes/asciiarmor.js +73 -0
  69. data/vendor/assets/javascripts/codemirror/modes/asn.1.js +204 -0
  70. data/vendor/assets/javascripts/codemirror/modes/asterisk.js +196 -0
  71. data/vendor/assets/javascripts/codemirror/modes/brainfuck.js +85 -0
  72. data/vendor/assets/javascripts/codemirror/modes/clike.js +786 -0
  73. data/vendor/assets/javascripts/codemirror/modes/clojure.js +306 -0
  74. data/vendor/assets/javascripts/codemirror/modes/cmake.js +97 -0
  75. data/vendor/assets/javascripts/codemirror/modes/cobol.js +255 -0
  76. data/vendor/assets/javascripts/codemirror/modes/coffeescript.js +355 -0
  77. data/vendor/assets/javascripts/codemirror/modes/commonlisp.js +123 -0
  78. data/vendor/assets/javascripts/codemirror/modes/crystal.js +391 -0
  79. data/vendor/assets/javascripts/codemirror/modes/css.js +825 -0
  80. data/vendor/assets/javascripts/codemirror/modes/cypher.js +146 -0
  81. data/vendor/assets/javascripts/codemirror/modes/d.js +218 -0
  82. data/vendor/assets/javascripts/codemirror/modes/dart.js +157 -0
  83. data/vendor/assets/javascripts/codemirror/modes/diff.js +47 -0
  84. data/vendor/assets/javascripts/codemirror/modes/django.js +356 -0
  85. data/vendor/assets/javascripts/codemirror/modes/dockerfile.js +79 -0
  86. data/vendor/assets/javascripts/codemirror/modes/dtd.js +142 -0
  87. data/vendor/assets/javascripts/codemirror/modes/dylan.js +344 -0
  88. data/vendor/assets/javascripts/codemirror/modes/ebnf.js +195 -0
  89. data/vendor/assets/javascripts/codemirror/modes/ecl.js +206 -0
  90. data/vendor/assets/javascripts/codemirror/modes/eiffel.js +160 -0
  91. data/vendor/assets/javascripts/codemirror/modes/elm.js +205 -0
  92. data/vendor/assets/javascripts/codemirror/modes/erlang.js +618 -0
  93. data/vendor/assets/javascripts/codemirror/modes/factor.js +83 -0
  94. data/vendor/assets/javascripts/codemirror/modes/fcl.js +173 -0
  95. data/vendor/assets/javascripts/codemirror/modes/forth.js +180 -0
  96. data/vendor/assets/javascripts/codemirror/modes/fortran.js +188 -0
  97. data/vendor/assets/javascripts/codemirror/modes/gas.js +345 -0
  98. data/vendor/assets/javascripts/codemirror/modes/gfm.js +130 -0
  99. data/vendor/assets/javascripts/codemirror/modes/gherkin.js +178 -0
  100. data/vendor/assets/javascripts/codemirror/modes/go.js +185 -0
  101. data/vendor/assets/javascripts/codemirror/modes/groovy.js +230 -0
  102. data/vendor/assets/javascripts/codemirror/modes/haml.js +161 -0
  103. data/vendor/assets/javascripts/codemirror/modes/handlebars.js +62 -0
  104. data/vendor/assets/javascripts/codemirror/modes/haskell-literate.js +43 -0
  105. data/vendor/assets/javascripts/codemirror/modes/haskell.js +267 -0
  106. data/vendor/assets/javascripts/codemirror/modes/haxe.js +515 -0
  107. data/vendor/assets/javascripts/codemirror/modes/htmlembedded.js +28 -0
  108. data/vendor/assets/javascripts/codemirror/modes/htmlmixed.js +152 -0
  109. data/vendor/assets/javascripts/codemirror/modes/http.js +113 -0
  110. data/vendor/assets/javascripts/codemirror/modes/idl.js +290 -0
  111. data/vendor/assets/javascripts/codemirror/modes/jade.js +590 -0
  112. data/vendor/assets/javascripts/codemirror/modes/javascript.js +748 -0
  113. data/vendor/assets/javascripts/codemirror/modes/jinja2.js +142 -0
  114. data/vendor/assets/javascripts/codemirror/modes/jsx.js +147 -0
  115. data/vendor/assets/javascripts/codemirror/modes/julia.js +392 -0
  116. data/vendor/assets/javascripts/codemirror/modes/livescript.js +280 -0
  117. data/vendor/assets/javascripts/codemirror/modes/lua.js +159 -0
  118. data/vendor/assets/javascripts/codemirror/modes/markdown.js +797 -0
  119. data/vendor/assets/javascripts/codemirror/modes/mathematica.js +176 -0
  120. data/vendor/assets/javascripts/codemirror/modes/mbox.js +129 -0
  121. data/vendor/assets/javascripts/codemirror/modes/mirc.js +193 -0
  122. data/vendor/assets/javascripts/codemirror/modes/mllike.js +205 -0
  123. data/vendor/assets/javascripts/codemirror/modes/modelica.js +245 -0
  124. data/vendor/assets/javascripts/codemirror/modes/mscgen.js +169 -0
  125. data/vendor/assets/javascripts/codemirror/modes/mumps.js +148 -0
  126. data/vendor/assets/javascripts/codemirror/modes/nginx.js +178 -0
  127. data/vendor/assets/javascripts/codemirror/modes/nsis.js +95 -0
  128. data/vendor/assets/javascripts/codemirror/modes/ntriples.js +186 -0
  129. data/vendor/assets/javascripts/codemirror/modes/octave.js +135 -0
  130. data/vendor/assets/javascripts/codemirror/modes/oz.js +252 -0
  131. data/vendor/assets/javascripts/codemirror/modes/pascal.js +109 -0
  132. data/vendor/assets/javascripts/codemirror/modes/pegjs.js +114 -0
  133. data/vendor/assets/javascripts/codemirror/modes/perl.js +837 -0
  134. data/vendor/assets/javascripts/codemirror/modes/php.js +234 -0
  135. data/vendor/assets/javascripts/codemirror/modes/pig.js +178 -0
  136. data/vendor/assets/javascripts/codemirror/modes/powershell.js +396 -0
  137. data/vendor/assets/javascripts/codemirror/modes/properties.js +78 -0
  138. data/vendor/assets/javascripts/codemirror/modes/protobuf.js +68 -0
  139. data/vendor/assets/javascripts/codemirror/modes/puppet.js +220 -0
  140. data/vendor/assets/javascripts/codemirror/modes/python.js +340 -0
  141. data/vendor/assets/javascripts/codemirror/modes/q.js +139 -0
  142. data/vendor/assets/javascripts/codemirror/modes/r.js +164 -0
  143. data/vendor/assets/javascripts/codemirror/modes/rpm.js +109 -0
  144. data/vendor/assets/javascripts/codemirror/modes/rst.js +557 -0
  145. data/vendor/assets/javascripts/codemirror/modes/ruby.js +285 -0
  146. data/vendor/assets/javascripts/codemirror/modes/rust.js +71 -0
  147. data/vendor/assets/javascripts/codemirror/modes/sas.js +315 -0
  148. data/vendor/assets/javascripts/codemirror/modes/sass.js +414 -0
  149. data/vendor/assets/javascripts/codemirror/modes/scheme.js +249 -0
  150. data/vendor/assets/javascripts/codemirror/modes/shell.js +139 -0
  151. data/vendor/assets/javascripts/codemirror/modes/sieve.js +193 -0
  152. data/vendor/assets/javascripts/codemirror/modes/slim.js +575 -0
  153. data/vendor/assets/javascripts/codemirror/modes/smalltalk.js +168 -0
  154. data/vendor/assets/javascripts/codemirror/modes/smarty.js +225 -0
  155. data/vendor/assets/javascripts/codemirror/modes/solr.js +104 -0
  156. data/vendor/assets/javascripts/codemirror/modes/soy.js +199 -0
  157. data/vendor/assets/javascripts/codemirror/modes/sparql.js +180 -0
  158. data/vendor/assets/javascripts/codemirror/modes/spreadsheet.js +112 -0
  159. data/vendor/assets/javascripts/codemirror/modes/sql.js +413 -0
  160. data/vendor/assets/javascripts/codemirror/modes/stex.js +251 -0
  161. data/vendor/assets/javascripts/codemirror/modes/stylus.js +769 -0
  162. data/vendor/assets/javascripts/codemirror/modes/swift.js +202 -0
  163. data/vendor/assets/javascripts/codemirror/modes/tcl.js +139 -0
  164. data/vendor/assets/javascripts/codemirror/modes/textile.js +469 -0
  165. data/vendor/assets/javascripts/codemirror/modes/tiddlywiki.js +308 -0
  166. data/vendor/assets/javascripts/codemirror/modes/tiki.js +312 -0
  167. data/vendor/assets/javascripts/codemirror/modes/toml.js +88 -0
  168. data/vendor/assets/javascripts/codemirror/modes/tornado.js +68 -0
  169. data/vendor/assets/javascripts/codemirror/modes/troff.js +84 -0
  170. data/vendor/assets/javascripts/codemirror/modes/ttcn-cfg.js +214 -0
  171. data/vendor/assets/javascripts/codemirror/modes/ttcn.js +283 -0
  172. data/vendor/assets/javascripts/codemirror/modes/turtle.js +162 -0
  173. data/vendor/assets/javascripts/codemirror/modes/twig.js +141 -0
  174. data/vendor/assets/javascripts/codemirror/modes/vb.js +276 -0
  175. data/vendor/assets/javascripts/codemirror/modes/vbscript.js +350 -0
  176. data/vendor/assets/javascripts/codemirror/modes/velocity.js +201 -0
  177. data/vendor/assets/javascripts/codemirror/modes/verilog.js +537 -0
  178. data/vendor/assets/javascripts/codemirror/modes/vhdl.js +189 -0
  179. data/vendor/assets/javascripts/codemirror/modes/vue.js +69 -0
  180. data/vendor/assets/javascripts/codemirror/modes/webidl.js +195 -0
  181. data/vendor/assets/javascripts/codemirror/modes/xml.js +394 -0
  182. data/vendor/assets/javascripts/codemirror/modes/xquery.js +437 -0
  183. data/vendor/assets/javascripts/codemirror/modes/yacas.js +204 -0
  184. data/vendor/assets/javascripts/codemirror/modes/yaml-frontmatter.js +68 -0
  185. data/vendor/assets/javascripts/codemirror/modes/yaml.js +117 -0
  186. data/vendor/assets/javascripts/codemirror/modes/z80.js +116 -0
  187. data/vendor/assets/javascripts/codemirror.js +8922 -0
  188. data/vendor/assets/stylesheets/codemirror/addons/dialog/dialog.css +32 -0
  189. data/vendor/assets/stylesheets/codemirror/addons/display/fullscreen.css +6 -0
  190. data/vendor/assets/stylesheets/codemirror/addons/fold/foldgutter.css +20 -0
  191. data/vendor/assets/stylesheets/codemirror/addons/hint/show-hint.css +37 -0
  192. data/vendor/assets/stylesheets/codemirror/addons/lint/lint.css +73 -0
  193. data/vendor/assets/stylesheets/codemirror/addons/merge/merge.css +113 -0
  194. data/vendor/assets/stylesheets/codemirror/addons/scroll/simplescrollbars.css +66 -0
  195. data/vendor/assets/stylesheets/codemirror/addons/search/matchesonscrollbar.css +8 -0
  196. data/vendor/assets/stylesheets/codemirror/addons/tern/tern.css +87 -0
  197. data/vendor/assets/stylesheets/codemirror/modes/tiddlywiki.css +14 -0
  198. data/vendor/assets/stylesheets/codemirror/modes/tiki.css +26 -0
  199. data/vendor/assets/stylesheets/codemirror/themes/3024-day.css +41 -0
  200. data/vendor/assets/stylesheets/codemirror/themes/3024-night.css +39 -0
  201. data/vendor/assets/stylesheets/codemirror/themes/abcdef.css +32 -0
  202. data/vendor/assets/stylesheets/codemirror/themes/ambiance-mobile.css +5 -0
  203. data/vendor/assets/stylesheets/codemirror/themes/ambiance.css +74 -0
  204. data/vendor/assets/stylesheets/codemirror/themes/base16-dark.css +38 -0
  205. data/vendor/assets/stylesheets/codemirror/themes/base16-light.css +38 -0
  206. data/vendor/assets/stylesheets/codemirror/themes/bespin.css +34 -0
  207. data/vendor/assets/stylesheets/codemirror/themes/blackboard.css +32 -0
  208. data/vendor/assets/stylesheets/codemirror/themes/cobalt.css +25 -0
  209. data/vendor/assets/stylesheets/codemirror/themes/colorforth.css +33 -0
  210. data/vendor/assets/stylesheets/codemirror/themes/dracula.css +41 -0
  211. data/vendor/assets/stylesheets/codemirror/themes/eclipse.css +23 -0
  212. data/vendor/assets/stylesheets/codemirror/themes/elegant.css +13 -0
  213. data/vendor/assets/stylesheets/codemirror/themes/erlang-dark.css +34 -0
  214. data/vendor/assets/stylesheets/codemirror/themes/hopscotch.css +34 -0
  215. data/vendor/assets/stylesheets/codemirror/themes/icecoder.css +43 -0
  216. data/vendor/assets/stylesheets/codemirror/themes/isotope.css +34 -0
  217. data/vendor/assets/stylesheets/codemirror/themes/lesser-dark.css +47 -0
  218. data/vendor/assets/stylesheets/codemirror/themes/liquibyte.css +95 -0
  219. data/vendor/assets/stylesheets/codemirror/themes/material.css +53 -0
  220. data/vendor/assets/stylesheets/codemirror/themes/mbo.css +37 -0
  221. data/vendor/assets/stylesheets/codemirror/themes/mdn-like.css +46 -0
  222. data/vendor/assets/stylesheets/codemirror/themes/midnight.css +45 -0
  223. data/vendor/assets/stylesheets/codemirror/themes/monokai.css +36 -0
  224. data/vendor/assets/stylesheets/codemirror/themes/neat.css +12 -0
  225. data/vendor/assets/stylesheets/codemirror/themes/neo.css +43 -0
  226. data/vendor/assets/stylesheets/codemirror/themes/night.css +27 -0
  227. data/vendor/assets/stylesheets/codemirror/themes/paraiso-dark.css +38 -0
  228. data/vendor/assets/stylesheets/codemirror/themes/paraiso-light.css +38 -0
  229. data/vendor/assets/stylesheets/codemirror/themes/pastel-on-dark.css +53 -0
  230. data/vendor/assets/stylesheets/codemirror/themes/railscasts.css +34 -0
  231. data/vendor/assets/stylesheets/codemirror/themes/rubyblue.css +25 -0
  232. data/vendor/assets/stylesheets/codemirror/themes/seti.css +44 -0
  233. data/vendor/assets/stylesheets/codemirror/themes/solarized.css +169 -0
  234. data/vendor/assets/stylesheets/codemirror/themes/the-matrix.css +30 -0
  235. data/vendor/assets/stylesheets/codemirror/themes/tomorrow-night-bright.css +35 -0
  236. data/vendor/assets/stylesheets/codemirror/themes/tomorrow-night-eighties.css +38 -0
  237. data/vendor/assets/stylesheets/codemirror/themes/ttcn.css +64 -0
  238. data/vendor/assets/stylesheets/codemirror/themes/twilight.css +32 -0
  239. data/vendor/assets/stylesheets/codemirror/themes/vibrant-ink.css +34 -0
  240. data/vendor/assets/stylesheets/codemirror/themes/xq-dark.css +53 -0
  241. data/vendor/assets/stylesheets/codemirror/themes/xq-light.css +43 -0
  242. data/vendor/assets/stylesheets/codemirror/themes/yeti.css +44 -0
  243. data/vendor/assets/stylesheets/codemirror/themes/zenburn.css +37 -0
  244. data/vendor/assets/stylesheets/codemirror.css +347 -0
  245. metadata +244 -49
  246. data/app/assets/javascripts/scrivito_codemirror_editor/codemirror_editor.js.coffee +0 -23
@@ -0,0 +1,537 @@
1
+ // CodeMirror, copyright (c) by Marijn Haverbeke and others
2
+ // Distributed under an MIT license: http://codemirror.net/LICENSE
3
+
4
+ (function(mod) {
5
+ if (typeof exports == "object" && typeof module == "object") // CommonJS
6
+ mod(require("../../lib/codemirror"));
7
+ else if (typeof define == "function" && define.amd) // AMD
8
+ define(["../../lib/codemirror"], mod);
9
+ else // Plain browser env
10
+ mod(CodeMirror);
11
+ })(function(CodeMirror) {
12
+ "use strict";
13
+
14
+ CodeMirror.defineMode("verilog", function(config, parserConfig) {
15
+
16
+ var indentUnit = config.indentUnit,
17
+ statementIndentUnit = parserConfig.statementIndentUnit || indentUnit,
18
+ dontAlignCalls = parserConfig.dontAlignCalls,
19
+ noIndentKeywords = parserConfig.noIndentKeywords || [],
20
+ multiLineStrings = parserConfig.multiLineStrings,
21
+ hooks = parserConfig.hooks || {};
22
+
23
+ function words(str) {
24
+ var obj = {}, words = str.split(" ");
25
+ for (var i = 0; i < words.length; ++i) obj[words[i]] = true;
26
+ return obj;
27
+ }
28
+
29
+ /**
30
+ * Keywords from IEEE 1800-2012
31
+ */
32
+ var keywords = words(
33
+ "accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind " +
34
+ "bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config " +
35
+ "const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable " +
36
+ "dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup " +
37
+ "endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask " +
38
+ "enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin " +
39
+ "function generate genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import " +
40
+ "incdir include initial inout input inside instance int integer interconnect interface intersect join join_any " +
41
+ "join_none large let liblist library local localparam logic longint macromodule matches medium modport module " +
42
+ "nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 null or output package packed " +
43
+ "parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup " +
44
+ "pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg " +
45
+ "reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime " +
46
+ "s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify " +
47
+ "specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on " +
48
+ "table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior " +
49
+ "trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void " +
50
+ "wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor");
51
+
52
+ /** Operators from IEEE 1800-2012
53
+ unary_operator ::=
54
+ + | - | ! | ~ | & | ~& | | | ~| | ^ | ~^ | ^~
55
+ binary_operator ::=
56
+ + | - | * | / | % | == | != | === | !== | ==? | !=? | && | || | **
57
+ | < | <= | > | >= | & | | | ^ | ^~ | ~^ | >> | << | >>> | <<<
58
+ | -> | <->
59
+ inc_or_dec_operator ::= ++ | --
60
+ unary_module_path_operator ::=
61
+ ! | ~ | & | ~& | | | ~| | ^ | ~^ | ^~
62
+ binary_module_path_operator ::=
63
+ == | != | && | || | & | | | ^ | ^~ | ~^
64
+ */
65
+ var isOperatorChar = /[\+\-\*\/!~&|^%=?:]/;
66
+ var isBracketChar = /[\[\]{}()]/;
67
+
68
+ var unsignedNumber = /\d[0-9_]*/;
69
+ var decimalLiteral = /\d*\s*'s?d\s*\d[0-9_]*/i;
70
+ var binaryLiteral = /\d*\s*'s?b\s*[xz01][xz01_]*/i;
71
+ var octLiteral = /\d*\s*'s?o\s*[xz0-7][xz0-7_]*/i;
72
+ var hexLiteral = /\d*\s*'s?h\s*[0-9a-fxz?][0-9a-fxz?_]*/i;
73
+ var realLiteral = /(\d[\d_]*(\.\d[\d_]*)?E-?[\d_]+)|(\d[\d_]*\.\d[\d_]*)/i;
74
+
75
+ var closingBracketOrWord = /^((\w+)|[)}\]])/;
76
+ var closingBracket = /[)}\]]/;
77
+
78
+ var curPunc;
79
+ var curKeyword;
80
+
81
+ // Block openings which are closed by a matching keyword in the form of ("end" + keyword)
82
+ // E.g. "task" => "endtask"
83
+ var blockKeywords = words(
84
+ "case checker class clocking config function generate interface module package" +
85
+ "primitive program property specify sequence table task"
86
+ );
87
+
88
+ // Opening/closing pairs
89
+ var openClose = {};
90
+ for (var keyword in blockKeywords) {
91
+ openClose[keyword] = "end" + keyword;
92
+ }
93
+ openClose["begin"] = "end";
94
+ openClose["casex"] = "endcase";
95
+ openClose["casez"] = "endcase";
96
+ openClose["do" ] = "while";
97
+ openClose["fork" ] = "join;join_any;join_none";
98
+ openClose["covergroup"] = "endgroup";
99
+
100
+ for (var i in noIndentKeywords) {
101
+ var keyword = noIndentKeywords[i];
102
+ if (openClose[keyword]) {
103
+ openClose[keyword] = undefined;
104
+ }
105
+ }
106
+
107
+ // Keywords which open statements that are ended with a semi-colon
108
+ var statementKeywords = words("always always_comb always_ff always_latch assert assign assume else export for foreach forever if import initial repeat while");
109
+
110
+ function tokenBase(stream, state) {
111
+ var ch = stream.peek(), style;
112
+ if (hooks[ch] && (style = hooks[ch](stream, state)) != false) return style;
113
+ if (hooks.tokenBase && (style = hooks.tokenBase(stream, state)) != false)
114
+ return style;
115
+
116
+ if (/[,;:\.]/.test(ch)) {
117
+ curPunc = stream.next();
118
+ return null;
119
+ }
120
+ if (isBracketChar.test(ch)) {
121
+ curPunc = stream.next();
122
+ return "bracket";
123
+ }
124
+ // Macros (tick-defines)
125
+ if (ch == '`') {
126
+ stream.next();
127
+ if (stream.eatWhile(/[\w\$_]/)) {
128
+ return "def";
129
+ } else {
130
+ return null;
131
+ }
132
+ }
133
+ // System calls
134
+ if (ch == '$') {
135
+ stream.next();
136
+ if (stream.eatWhile(/[\w\$_]/)) {
137
+ return "meta";
138
+ } else {
139
+ return null;
140
+ }
141
+ }
142
+ // Time literals
143
+ if (ch == '#') {
144
+ stream.next();
145
+ stream.eatWhile(/[\d_.]/);
146
+ return "def";
147
+ }
148
+ // Strings
149
+ if (ch == '"') {
150
+ stream.next();
151
+ state.tokenize = tokenString(ch);
152
+ return state.tokenize(stream, state);
153
+ }
154
+ // Comments
155
+ if (ch == "/") {
156
+ stream.next();
157
+ if (stream.eat("*")) {
158
+ state.tokenize = tokenComment;
159
+ return tokenComment(stream, state);
160
+ }
161
+ if (stream.eat("/")) {
162
+ stream.skipToEnd();
163
+ return "comment";
164
+ }
165
+ stream.backUp(1);
166
+ }
167
+
168
+ // Numeric literals
169
+ if (stream.match(realLiteral) ||
170
+ stream.match(decimalLiteral) ||
171
+ stream.match(binaryLiteral) ||
172
+ stream.match(octLiteral) ||
173
+ stream.match(hexLiteral) ||
174
+ stream.match(unsignedNumber) ||
175
+ stream.match(realLiteral)) {
176
+ return "number";
177
+ }
178
+
179
+ // Operators
180
+ if (stream.eatWhile(isOperatorChar)) {
181
+ return "meta";
182
+ }
183
+
184
+ // Keywords / plain variables
185
+ if (stream.eatWhile(/[\w\$_]/)) {
186
+ var cur = stream.current();
187
+ if (keywords[cur]) {
188
+ if (openClose[cur]) {
189
+ curPunc = "newblock";
190
+ }
191
+ if (statementKeywords[cur]) {
192
+ curPunc = "newstatement";
193
+ }
194
+ curKeyword = cur;
195
+ return "keyword";
196
+ }
197
+ return "variable";
198
+ }
199
+
200
+ stream.next();
201
+ return null;
202
+ }
203
+
204
+ function tokenString(quote) {
205
+ return function(stream, state) {
206
+ var escaped = false, next, end = false;
207
+ while ((next = stream.next()) != null) {
208
+ if (next == quote && !escaped) {end = true; break;}
209
+ escaped = !escaped && next == "\\";
210
+ }
211
+ if (end || !(escaped || multiLineStrings))
212
+ state.tokenize = tokenBase;
213
+ return "string";
214
+ };
215
+ }
216
+
217
+ function tokenComment(stream, state) {
218
+ var maybeEnd = false, ch;
219
+ while (ch = stream.next()) {
220
+ if (ch == "/" && maybeEnd) {
221
+ state.tokenize = tokenBase;
222
+ break;
223
+ }
224
+ maybeEnd = (ch == "*");
225
+ }
226
+ return "comment";
227
+ }
228
+
229
+ function Context(indented, column, type, align, prev) {
230
+ this.indented = indented;
231
+ this.column = column;
232
+ this.type = type;
233
+ this.align = align;
234
+ this.prev = prev;
235
+ }
236
+ function pushContext(state, col, type) {
237
+ var indent = state.indented;
238
+ var c = new Context(indent, col, type, null, state.context);
239
+ return state.context = c;
240
+ }
241
+ function popContext(state) {
242
+ var t = state.context.type;
243
+ if (t == ")" || t == "]" || t == "}") {
244
+ state.indented = state.context.indented;
245
+ }
246
+ return state.context = state.context.prev;
247
+ }
248
+
249
+ function isClosing(text, contextClosing) {
250
+ if (text == contextClosing) {
251
+ return true;
252
+ } else {
253
+ // contextClosing may be multiple keywords separated by ;
254
+ var closingKeywords = contextClosing.split(";");
255
+ for (var i in closingKeywords) {
256
+ if (text == closingKeywords[i]) {
257
+ return true;
258
+ }
259
+ }
260
+ return false;
261
+ }
262
+ }
263
+
264
+ function buildElectricInputRegEx() {
265
+ // Reindentation should occur on any bracket char: {}()[]
266
+ // or on a match of any of the block closing keywords, at
267
+ // the end of a line
268
+ var allClosings = [];
269
+ for (var i in openClose) {
270
+ if (openClose[i]) {
271
+ var closings = openClose[i].split(";");
272
+ for (var j in closings) {
273
+ allClosings.push(closings[j]);
274
+ }
275
+ }
276
+ }
277
+ var re = new RegExp("[{}()\\[\\]]|(" + allClosings.join("|") + ")$");
278
+ return re;
279
+ }
280
+
281
+ // Interface
282
+ return {
283
+
284
+ // Regex to force current line to reindent
285
+ electricInput: buildElectricInputRegEx(),
286
+
287
+ startState: function(basecolumn) {
288
+ var state = {
289
+ tokenize: null,
290
+ context: new Context((basecolumn || 0) - indentUnit, 0, "top", false),
291
+ indented: 0,
292
+ startOfLine: true
293
+ };
294
+ if (hooks.startState) hooks.startState(state);
295
+ return state;
296
+ },
297
+
298
+ token: function(stream, state) {
299
+ var ctx = state.context;
300
+ if (stream.sol()) {
301
+ if (ctx.align == null) ctx.align = false;
302
+ state.indented = stream.indentation();
303
+ state.startOfLine = true;
304
+ }
305
+ if (hooks.token) hooks.token(stream, state);
306
+ if (stream.eatSpace()) return null;
307
+ curPunc = null;
308
+ curKeyword = null;
309
+ var style = (state.tokenize || tokenBase)(stream, state);
310
+ if (style == "comment" || style == "meta" || style == "variable") return style;
311
+ if (ctx.align == null) ctx.align = true;
312
+
313
+ if (curPunc == ctx.type) {
314
+ popContext(state);
315
+ } else if ((curPunc == ";" && ctx.type == "statement") ||
316
+ (ctx.type && isClosing(curKeyword, ctx.type))) {
317
+ ctx = popContext(state);
318
+ while (ctx && ctx.type == "statement") ctx = popContext(state);
319
+ } else if (curPunc == "{") {
320
+ pushContext(state, stream.column(), "}");
321
+ } else if (curPunc == "[") {
322
+ pushContext(state, stream.column(), "]");
323
+ } else if (curPunc == "(") {
324
+ pushContext(state, stream.column(), ")");
325
+ } else if (ctx && ctx.type == "endcase" && curPunc == ":") {
326
+ pushContext(state, stream.column(), "statement");
327
+ } else if (curPunc == "newstatement") {
328
+ pushContext(state, stream.column(), "statement");
329
+ } else if (curPunc == "newblock") {
330
+ if (curKeyword == "function" && ctx && (ctx.type == "statement" || ctx.type == "endgroup")) {
331
+ // The 'function' keyword can appear in some other contexts where it actually does not
332
+ // indicate a function (import/export DPI and covergroup definitions).
333
+ // Do nothing in this case
334
+ } else if (curKeyword == "task" && ctx && ctx.type == "statement") {
335
+ // Same thing for task
336
+ } else {
337
+ var close = openClose[curKeyword];
338
+ pushContext(state, stream.column(), close);
339
+ }
340
+ }
341
+
342
+ state.startOfLine = false;
343
+ return style;
344
+ },
345
+
346
+ indent: function(state, textAfter) {
347
+ if (state.tokenize != tokenBase && state.tokenize != null) return CodeMirror.Pass;
348
+ if (hooks.indent) {
349
+ var fromHook = hooks.indent(state);
350
+ if (fromHook >= 0) return fromHook;
351
+ }
352
+ var ctx = state.context, firstChar = textAfter && textAfter.charAt(0);
353
+ if (ctx.type == "statement" && firstChar == "}") ctx = ctx.prev;
354
+ var closing = false;
355
+ var possibleClosing = textAfter.match(closingBracketOrWord);
356
+ if (possibleClosing)
357
+ closing = isClosing(possibleClosing[0], ctx.type);
358
+ if (ctx.type == "statement") return ctx.indented + (firstChar == "{" ? 0 : statementIndentUnit);
359
+ else if (closingBracket.test(ctx.type) && ctx.align && !dontAlignCalls) return ctx.column + (closing ? 0 : 1);
360
+ else if (ctx.type == ")" && !closing) return ctx.indented + statementIndentUnit;
361
+ else return ctx.indented + (closing ? 0 : indentUnit);
362
+ },
363
+
364
+ blockCommentStart: "/*",
365
+ blockCommentEnd: "*/",
366
+ lineComment: "//"
367
+ };
368
+ });
369
+
370
+ CodeMirror.defineMIME("text/x-verilog", {
371
+ name: "verilog"
372
+ });
373
+
374
+ CodeMirror.defineMIME("text/x-systemverilog", {
375
+ name: "verilog"
376
+ });
377
+
378
+ // TLVVerilog mode
379
+
380
+ var tlvchScopePrefixes = {
381
+ ">": "property", "->": "property", "-": "hr", "|": "link", "?$": "qualifier", "?*": "qualifier",
382
+ "@-": "variable-3", "@": "variable-3", "?": "qualifier"
383
+ };
384
+
385
+ function tlvGenIndent(stream, state) {
386
+ var tlvindentUnit = 2;
387
+ var rtnIndent = -1, indentUnitRq = 0, curIndent = stream.indentation();
388
+ switch (state.tlvCurCtlFlowChar) {
389
+ case "\\":
390
+ curIndent = 0;
391
+ break;
392
+ case "|":
393
+ if (state.tlvPrevPrevCtlFlowChar == "@") {
394
+ indentUnitRq = -2; //-2 new pipe rq after cur pipe
395
+ break;
396
+ }
397
+ if (tlvchScopePrefixes[state.tlvPrevCtlFlowChar])
398
+ indentUnitRq = 1; // +1 new scope
399
+ break;
400
+ case "M": // m4
401
+ if (state.tlvPrevPrevCtlFlowChar == "@") {
402
+ indentUnitRq = -2; //-2 new inst rq after pipe
403
+ break;
404
+ }
405
+ if (tlvchScopePrefixes[state.tlvPrevCtlFlowChar])
406
+ indentUnitRq = 1; // +1 new scope
407
+ break;
408
+ case "@":
409
+ if (state.tlvPrevCtlFlowChar == "S")
410
+ indentUnitRq = -1; // new pipe stage after stmts
411
+ if (state.tlvPrevCtlFlowChar == "|")
412
+ indentUnitRq = 1; // 1st pipe stage
413
+ break;
414
+ case "S":
415
+ if (state.tlvPrevCtlFlowChar == "@")
416
+ indentUnitRq = 1; // flow in pipe stage
417
+ if (tlvchScopePrefixes[state.tlvPrevCtlFlowChar])
418
+ indentUnitRq = 1; // +1 new scope
419
+ break;
420
+ }
421
+ var statementIndentUnit = tlvindentUnit;
422
+ rtnIndent = curIndent + (indentUnitRq*statementIndentUnit);
423
+ return rtnIndent >= 0 ? rtnIndent : curIndent;
424
+ }
425
+
426
+ CodeMirror.defineMIME("text/x-tlv", {
427
+ name: "verilog",
428
+ hooks: {
429
+ "\\": function(stream, state) {
430
+ var vxIndent = 0, style = false;
431
+ var curPunc = stream.string;
432
+ if ((stream.sol()) && ((/\\SV/.test(stream.string)) || (/\\TLV/.test(stream.string)))) {
433
+ curPunc = (/\\TLV_version/.test(stream.string))
434
+ ? "\\TLV_version" : stream.string;
435
+ stream.skipToEnd();
436
+ if (curPunc == "\\SV" && state.vxCodeActive) {state.vxCodeActive = false;};
437
+ if ((/\\TLV/.test(curPunc) && !state.vxCodeActive)
438
+ || (curPunc=="\\TLV_version" && state.vxCodeActive)) {state.vxCodeActive = true;};
439
+ style = "keyword";
440
+ state.tlvCurCtlFlowChar = state.tlvPrevPrevCtlFlowChar
441
+ = state.tlvPrevCtlFlowChar = "";
442
+ if (state.vxCodeActive == true) {
443
+ state.tlvCurCtlFlowChar = "\\";
444
+ vxIndent = tlvGenIndent(stream, state);
445
+ }
446
+ state.vxIndentRq = vxIndent;
447
+ }
448
+ return style;
449
+ },
450
+ tokenBase: function(stream, state) {
451
+ var vxIndent = 0, style = false;
452
+ var tlvisOperatorChar = /[\[\]=:]/;
453
+ var tlvkpScopePrefixs = {
454
+ "**":"variable-2", "*":"variable-2", "$$":"variable", "$":"variable",
455
+ "^^":"attribute", "^":"attribute"};
456
+ var ch = stream.peek();
457
+ var vxCurCtlFlowCharValueAtStart = state.tlvCurCtlFlowChar;
458
+ if (state.vxCodeActive == true) {
459
+ if (/[\[\]{}\(\);\:]/.test(ch)) {
460
+ // bypass nesting and 1 char punc
461
+ style = "meta";
462
+ stream.next();
463
+ } else if (ch == "/") {
464
+ stream.next();
465
+ if (stream.eat("/")) {
466
+ stream.skipToEnd();
467
+ style = "comment";
468
+ state.tlvCurCtlFlowChar = "S";
469
+ } else {
470
+ stream.backUp(1);
471
+ }
472
+ } else if (ch == "@") {
473
+ // pipeline stage
474
+ style = tlvchScopePrefixes[ch];
475
+ state.tlvCurCtlFlowChar = "@";
476
+ stream.next();
477
+ stream.eatWhile(/[\w\$_]/);
478
+ } else if (stream.match(/\b[mM]4+/, true)) { // match: function(pattern, consume, caseInsensitive)
479
+ // m4 pre proc
480
+ stream.skipTo("(");
481
+ style = "def";
482
+ state.tlvCurCtlFlowChar = "M";
483
+ } else if (ch == "!" && stream.sol()) {
484
+ // v stmt in tlv region
485
+ // state.tlvCurCtlFlowChar = "S";
486
+ style = "comment";
487
+ stream.next();
488
+ } else if (tlvisOperatorChar.test(ch)) {
489
+ // operators
490
+ stream.eatWhile(tlvisOperatorChar);
491
+ style = "operator";
492
+ } else if (ch == "#") {
493
+ // phy hier
494
+ state.tlvCurCtlFlowChar = (state.tlvCurCtlFlowChar == "")
495
+ ? ch : state.tlvCurCtlFlowChar;
496
+ stream.next();
497
+ stream.eatWhile(/[+-]\d/);
498
+ style = "tag";
499
+ } else if (tlvkpScopePrefixs.propertyIsEnumerable(ch)) {
500
+ // special TLV operators
501
+ style = tlvkpScopePrefixs[ch];
502
+ state.tlvCurCtlFlowChar = state.tlvCurCtlFlowChar == "" ? "S" : state.tlvCurCtlFlowChar; // stmt
503
+ stream.next();
504
+ stream.match(/[a-zA-Z_0-9]+/);
505
+ } else if (style = tlvchScopePrefixes[ch] || false) {
506
+ // special TLV operators
507
+ state.tlvCurCtlFlowChar = state.tlvCurCtlFlowChar == "" ? ch : state.tlvCurCtlFlowChar;
508
+ stream.next();
509
+ stream.match(/[a-zA-Z_0-9]+/);
510
+ }
511
+ if (state.tlvCurCtlFlowChar != vxCurCtlFlowCharValueAtStart) { // flow change
512
+ vxIndent = tlvGenIndent(stream, state);
513
+ state.vxIndentRq = vxIndent;
514
+ }
515
+ }
516
+ return style;
517
+ },
518
+ token: function(stream, state) {
519
+ if (state.vxCodeActive == true && stream.sol() && state.tlvCurCtlFlowChar != "") {
520
+ state.tlvPrevPrevCtlFlowChar = state.tlvPrevCtlFlowChar;
521
+ state.tlvPrevCtlFlowChar = state.tlvCurCtlFlowChar;
522
+ state.tlvCurCtlFlowChar = "";
523
+ }
524
+ },
525
+ indent: function(state) {
526
+ return (state.vxCodeActive == true) ? state.vxIndentRq : -1;
527
+ },
528
+ startState: function(state) {
529
+ state.tlvCurCtlFlowChar = "";
530
+ state.tlvPrevCtlFlowChar = "";
531
+ state.tlvPrevPrevCtlFlowChar = "";
532
+ state.vxCodeActive = true;
533
+ state.vxIndentRq = 0;
534
+ }
535
+ }
536
+ });
537
+ });