ruby_learner 1.1.2 → 1.1.3
Sign up to get free protection for your applications and to get access to all the features.
- checksums.yaml +4 -4
- data/Gemfile.lock +1 -1
- data/docs/thesis/competing_services.org +21 -0
- data/docs/thesis/manual.org +55 -0
- data/docs/thesis/mid_term.pptx +0 -0
- data/lib/ruby_learner/common.rb +45 -0
- data/lib/ruby_learner/ruby_learner.rb +9 -17
- data/lib/ruby_learner/sequential_main.rb +146 -0
- data/lib/ruby_learner/typing_practice.rb +82 -10
- data/lib/ruby_learner/version.rb +1 -1
- data/workshop/emacs.d/init.el +1 -76
- data/workshop/emacs.d/ruby_learner_init.el +0 -76
- metadata +7 -83
- data/lib/ruby_learner/methods.rb +0 -290
- data/workshop/emacs.d/#init# +0 -1
- data/workshop/emacs.d/ac-comphist.dat +0 -50
- data/workshop/emacs.d/cp5022x.el +0 -156
- data/workshop/emacs.d/elpa/archives/gnu/archive-contents +0 -1240
- data/workshop/emacs.d/elpa/archives/melpa/archive-contents +0 -2
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete-autoloads.el +0 -65
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete-config.el +0 -551
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete-config.elc +0 -0
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete-pkg.el +0 -6
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete.el +0 -2164
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/auto-complete.elc +0 -0
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/ada-mode +0 -72
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/c++-mode +0 -99
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/c-mode +0 -55
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/caml-mode +0 -231
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/clojure-mode +0 -580
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/clojurescript-mode +0 -475
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/coq-mode +0 -278
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/css-mode +0 -874
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/erlang-mode +0 -216
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/ess-julia-mode +0 -37
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/go-mode +0 -25
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/haskell-mode +0 -679
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/java-mode +0 -53
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/js-mode +0 -148
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/julia-mode +0 -37
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/lua-mode +0 -21
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/nim-mode +0 -70
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/objc-mode +0 -161
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/octave-mode +0 -46
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/php-mode +0 -6144
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/python-mode +0 -379
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/qml-mode +0 -183
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/ruby-mode +0 -181
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/scala-mode +0 -1347
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/scheme-mode +0 -216
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/sclang-mode +0 -1481
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/sh-mode +0 -182
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/swift-mode +0 -87
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/tcl-mode +0 -172
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/ts-mode +0 -797
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/tuareg-mode +0 -231
- data/workshop/emacs.d/elpa/auto-complete-20170124.1845/dict/verilog-mode +0 -313
- data/workshop/emacs.d/elpa/better-defaults-20170613.2104/better-defaults-autoloads.el +0 -16
- data/workshop/emacs.d/elpa/better-defaults-20170613.2104/better-defaults-pkg.el +0 -2
- data/workshop/emacs.d/elpa/better-defaults-20170613.2104/better-defaults.el +0 -90
- data/workshop/emacs.d/elpa/better-defaults-20170613.2104/better-defaults.elc +0 -0
- data/workshop/emacs.d/elpa/haml-mode-20170923.2153/haml-mode-autoloads.el +0 -26
- data/workshop/emacs.d/elpa/haml-mode-20170923.2153/haml-mode-pkg.el +0 -2
- data/workshop/emacs.d/elpa/haml-mode-20170923.2153/haml-mode.el +0 -877
- data/workshop/emacs.d/elpa/haml-mode-20170923.2153/haml-mode.elc +0 -0
- data/workshop/emacs.d/elpa/haml-mode-readme.txt +0 -8
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-light-theme.el +0 -918
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-light-theme.elc +0 -0
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-theme-autoloads.el +0 -32
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-theme-pkg.el +0 -8
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-theme.el +0 -912
- data/workshop/emacs.d/elpa/material-theme-20171123.1040/material-theme.elc +0 -0
- data/workshop/emacs.d/elpa/ox-bibtex-chinese-readme.txt +0 -21
- data/workshop/emacs.d/elpa/popup-20160709.729/popup-autoloads.el +0 -15
- data/workshop/emacs.d/elpa/popup-20160709.729/popup-pkg.el +0 -2
- data/workshop/emacs.d/elpa/popup-20160709.729/popup.el +0 -1432
- data/workshop/emacs.d/elpa/popup-20160709.729/popup.elc +0 -0
- data/workshop/emacs.d/elpa/yaml-mode-20180212.1556/yaml-mode-autoloads.el +0 -33
- data/workshop/emacs.d/elpa/yaml-mode-20180212.1556/yaml-mode-pkg.el +0 -2
- data/workshop/emacs.d/elpa/yaml-mode-20180212.1556/yaml-mode.el +0 -470
- data/workshop/emacs.d/elpa/yaml-mode-20180212.1556/yaml-mode.elc +0 -0
- data/workshop/emacs.d/elpa/yaml-mode-readme.txt +0 -25
- data/workshop/emacs.d/haml-mode-master/.gitignore +0 -1
- data/workshop/emacs.d/haml-mode-master/.mailmap +0 -2
- data/workshop/emacs.d/haml-mode-master/MIT-LICENSE +0 -20
- data/workshop/emacs.d/haml-mode-master/README.md +0 -47
- data/workshop/emacs.d/haml-mode-master/haml-mode.el +0 -887
- data/workshop/emacs.d/iceberg_theme.el +0 -202
- data/workshop/emacs.d/init-open-recentf.el +0 -133
- data/workshop/emacs.d/install-elisp.el +0 -366
- data/workshop/emacs.d/notes +0 -12
- data/workshop/emacs.d/processing-mode/processing-mode.el +0 -275
- data/workshop/emacs.d/recentf +0 -31
- data/workshop/emacs.d/wiki-mode/wiki.el +0 -976
@@ -1,231 +0,0 @@
|
|
1
|
-
# OCaml 3.12.1
|
2
|
-
|
3
|
-
# Keywords
|
4
|
-
and
|
5
|
-
as
|
6
|
-
assert
|
7
|
-
begin
|
8
|
-
class
|
9
|
-
constraint
|
10
|
-
do
|
11
|
-
done
|
12
|
-
downto
|
13
|
-
else
|
14
|
-
end
|
15
|
-
exception
|
16
|
-
external
|
17
|
-
false
|
18
|
-
for
|
19
|
-
fun
|
20
|
-
function
|
21
|
-
functor
|
22
|
-
if
|
23
|
-
in
|
24
|
-
include
|
25
|
-
inherit
|
26
|
-
initializer
|
27
|
-
lazy
|
28
|
-
let
|
29
|
-
match
|
30
|
-
method
|
31
|
-
module
|
32
|
-
mutable
|
33
|
-
new
|
34
|
-
object
|
35
|
-
of
|
36
|
-
open
|
37
|
-
or
|
38
|
-
private
|
39
|
-
rec
|
40
|
-
sig
|
41
|
-
struct
|
42
|
-
then
|
43
|
-
to
|
44
|
-
true
|
45
|
-
try
|
46
|
-
type
|
47
|
-
val
|
48
|
-
virtual
|
49
|
-
when
|
50
|
-
while
|
51
|
-
with
|
52
|
-
|
53
|
-
# Pervasives
|
54
|
-
!
|
55
|
-
!=
|
56
|
-
&
|
57
|
-
&&
|
58
|
-
*
|
59
|
-
**
|
60
|
-
*.
|
61
|
-
+
|
62
|
-
+.
|
63
|
-
-
|
64
|
-
-.
|
65
|
-
/
|
66
|
-
/.
|
67
|
-
:=
|
68
|
-
<
|
69
|
-
<=
|
70
|
-
<>
|
71
|
-
=
|
72
|
-
==
|
73
|
-
>
|
74
|
-
>=
|
75
|
-
@
|
76
|
-
FP_infinite
|
77
|
-
FP_nan
|
78
|
-
FP_normal
|
79
|
-
FP_subnormal
|
80
|
-
FP_zero
|
81
|
-
LargeFile
|
82
|
-
Open_append
|
83
|
-
Open_binary
|
84
|
-
Open_creat
|
85
|
-
Open_nonblock
|
86
|
-
Open_rdonly
|
87
|
-
Open_text
|
88
|
-
Open_trunc
|
89
|
-
Open_wronly
|
90
|
-
Oupen_excl
|
91
|
-
^
|
92
|
-
^^
|
93
|
-
abs
|
94
|
-
abs_float
|
95
|
-
acos
|
96
|
-
asin
|
97
|
-
asr
|
98
|
-
at_exit
|
99
|
-
atan
|
100
|
-
atan2
|
101
|
-
bool_of_string
|
102
|
-
ceil
|
103
|
-
char_of_int
|
104
|
-
classify_float
|
105
|
-
close_in
|
106
|
-
close_in_noerr
|
107
|
-
close_out
|
108
|
-
close_out_noerr
|
109
|
-
compare
|
110
|
-
cos
|
111
|
-
cosh
|
112
|
-
decr
|
113
|
-
do_at_exit
|
114
|
-
epsilon_float
|
115
|
-
exit
|
116
|
-
exp
|
117
|
-
expm1
|
118
|
-
failwith
|
119
|
-
float
|
120
|
-
float_of_int
|
121
|
-
float_of_string
|
122
|
-
floor
|
123
|
-
flush
|
124
|
-
flush_all
|
125
|
-
format
|
126
|
-
format4
|
127
|
-
format_of_string
|
128
|
-
fpclass
|
129
|
-
frexp
|
130
|
-
fst
|
131
|
-
ignore
|
132
|
-
in_channel
|
133
|
-
in_channel_length
|
134
|
-
incr
|
135
|
-
infinity
|
136
|
-
input
|
137
|
-
input_binary_int
|
138
|
-
input_byte
|
139
|
-
input_char
|
140
|
-
input_line
|
141
|
-
input_value
|
142
|
-
int_of_char
|
143
|
-
int_of_float
|
144
|
-
int_of_string
|
145
|
-
invalid_arg
|
146
|
-
land
|
147
|
-
ldexp
|
148
|
-
lnot
|
149
|
-
log
|
150
|
-
log10
|
151
|
-
log1p
|
152
|
-
lor
|
153
|
-
lsl
|
154
|
-
lsr
|
155
|
-
lxor
|
156
|
-
max
|
157
|
-
max_float
|
158
|
-
max_int
|
159
|
-
min
|
160
|
-
min_float
|
161
|
-
min_int
|
162
|
-
mod
|
163
|
-
mod_float
|
164
|
-
modf
|
165
|
-
nan
|
166
|
-
neg_infinity
|
167
|
-
not
|
168
|
-
open_flag
|
169
|
-
open_in
|
170
|
-
open_in_bin
|
171
|
-
open_in_gen
|
172
|
-
open_out
|
173
|
-
open_out_bin
|
174
|
-
open_out_gen
|
175
|
-
or
|
176
|
-
out_channel
|
177
|
-
out_channel_length
|
178
|
-
output
|
179
|
-
output_binary_int
|
180
|
-
output_byte
|
181
|
-
output_char
|
182
|
-
output_string
|
183
|
-
output_value
|
184
|
-
pos_in
|
185
|
-
pos_out
|
186
|
-
pred
|
187
|
-
prerr_char
|
188
|
-
prerr_endline
|
189
|
-
prerr_float
|
190
|
-
prerr_int
|
191
|
-
prerr_newline
|
192
|
-
prerr_string
|
193
|
-
print_char
|
194
|
-
print_endline
|
195
|
-
print_float
|
196
|
-
print_int
|
197
|
-
print_newline
|
198
|
-
print_string
|
199
|
-
raise
|
200
|
-
read_float
|
201
|
-
read_int
|
202
|
-
read_line
|
203
|
-
really_input
|
204
|
-
ref
|
205
|
-
seek_in
|
206
|
-
seek_out
|
207
|
-
set_binary_mode_in
|
208
|
-
set_binary_mode_out
|
209
|
-
sin
|
210
|
-
sinh
|
211
|
-
snd
|
212
|
-
sqrt
|
213
|
-
stderr
|
214
|
-
stdin
|
215
|
-
stdout
|
216
|
-
string_of_bool
|
217
|
-
string_of_float
|
218
|
-
string_of_format
|
219
|
-
string_of_int
|
220
|
-
succ
|
221
|
-
tan
|
222
|
-
tanh
|
223
|
-
truncate
|
224
|
-
unsafe_really_input
|
225
|
-
valid_float_lexem
|
226
|
-
||
|
227
|
-
~
|
228
|
-
~+
|
229
|
-
~+.
|
230
|
-
~-
|
231
|
-
~-.
|
@@ -1,313 +0,0 @@
|
|
1
|
-
`define
|
2
|
-
`else
|
3
|
-
`endif
|
4
|
-
`ifdef
|
5
|
-
`ifndef
|
6
|
-
`macromodule
|
7
|
-
`module
|
8
|
-
`primitive
|
9
|
-
`timescale
|
10
|
-
above
|
11
|
-
abs
|
12
|
-
absdelay
|
13
|
-
ac_stim
|
14
|
-
acos
|
15
|
-
acosh
|
16
|
-
alias
|
17
|
-
aliasparam
|
18
|
-
always
|
19
|
-
always_comb
|
20
|
-
always_ff
|
21
|
-
always_latch
|
22
|
-
analog
|
23
|
-
analysis
|
24
|
-
and
|
25
|
-
asin
|
26
|
-
asinh
|
27
|
-
assert
|
28
|
-
assign
|
29
|
-
assume
|
30
|
-
atan
|
31
|
-
atan2
|
32
|
-
atanh
|
33
|
-
automatic
|
34
|
-
before
|
35
|
-
begin
|
36
|
-
bind
|
37
|
-
bins
|
38
|
-
binsof
|
39
|
-
bit
|
40
|
-
branch
|
41
|
-
break
|
42
|
-
buf
|
43
|
-
bufif0
|
44
|
-
bufif1
|
45
|
-
byte
|
46
|
-
case
|
47
|
-
casex
|
48
|
-
casez
|
49
|
-
cell
|
50
|
-
chandle
|
51
|
-
class
|
52
|
-
clocking
|
53
|
-
cmos
|
54
|
-
config
|
55
|
-
connectmodule
|
56
|
-
connectrules
|
57
|
-
const
|
58
|
-
constraint
|
59
|
-
context
|
60
|
-
continue
|
61
|
-
cos
|
62
|
-
cosh
|
63
|
-
cover
|
64
|
-
covergroup
|
65
|
-
coverpoint
|
66
|
-
cross
|
67
|
-
ddt
|
68
|
-
ddx
|
69
|
-
deassign
|
70
|
-
default
|
71
|
-
define
|
72
|
-
defparam
|
73
|
-
design
|
74
|
-
disable
|
75
|
-
discipline
|
76
|
-
dist
|
77
|
-
do
|
78
|
-
driver_update
|
79
|
-
edge
|
80
|
-
else
|
81
|
-
end
|
82
|
-
endcase
|
83
|
-
endclass
|
84
|
-
endclocking
|
85
|
-
endconfig
|
86
|
-
endconnectrules
|
87
|
-
enddiscipline
|
88
|
-
endfunction
|
89
|
-
endgenerate
|
90
|
-
endgroup
|
91
|
-
endif
|
92
|
-
endinterface
|
93
|
-
endmodule
|
94
|
-
endnature
|
95
|
-
endpackage
|
96
|
-
endparamset
|
97
|
-
endprimitive
|
98
|
-
endprogram
|
99
|
-
endproperty
|
100
|
-
endsequence
|
101
|
-
endspecify
|
102
|
-
endtable
|
103
|
-
endtask
|
104
|
-
enum
|
105
|
-
event
|
106
|
-
exclude
|
107
|
-
exp
|
108
|
-
expect
|
109
|
-
export
|
110
|
-
extends
|
111
|
-
extern
|
112
|
-
final
|
113
|
-
final_step
|
114
|
-
first_match
|
115
|
-
flicker_noise
|
116
|
-
floor
|
117
|
-
flow
|
118
|
-
for
|
119
|
-
force
|
120
|
-
foreach
|
121
|
-
forever
|
122
|
-
fork
|
123
|
-
forkjoin
|
124
|
-
from
|
125
|
-
function
|
126
|
-
generate
|
127
|
-
genvar
|
128
|
-
ground
|
129
|
-
highz0
|
130
|
-
highz1
|
131
|
-
hypot
|
132
|
-
idt
|
133
|
-
idtmod
|
134
|
-
if
|
135
|
-
ifdef
|
136
|
-
iff
|
137
|
-
ifndef
|
138
|
-
ifnone
|
139
|
-
ignore_bins
|
140
|
-
illegal_bins
|
141
|
-
import
|
142
|
-
incdir
|
143
|
-
include
|
144
|
-
inf
|
145
|
-
initial
|
146
|
-
initial_step
|
147
|
-
inout
|
148
|
-
input
|
149
|
-
inside
|
150
|
-
instance
|
151
|
-
int
|
152
|
-
integer
|
153
|
-
interface
|
154
|
-
intersect
|
155
|
-
join
|
156
|
-
join_any
|
157
|
-
join_none
|
158
|
-
laplace_nd
|
159
|
-
laplace_np
|
160
|
-
laplace_zd
|
161
|
-
laplace_zp
|
162
|
-
large
|
163
|
-
last_crossing
|
164
|
-
liblist
|
165
|
-
library
|
166
|
-
limexp
|
167
|
-
ln
|
168
|
-
local
|
169
|
-
localparam
|
170
|
-
log
|
171
|
-
logic
|
172
|
-
longint
|
173
|
-
macromodule
|
174
|
-
mailbox
|
175
|
-
matches
|
176
|
-
max
|
177
|
-
medium
|
178
|
-
min
|
179
|
-
modport
|
180
|
-
module
|
181
|
-
nand
|
182
|
-
nand
|
183
|
-
nature
|
184
|
-
negedge
|
185
|
-
net_resolution
|
186
|
-
new
|
187
|
-
nmos
|
188
|
-
nmos
|
189
|
-
noise_table
|
190
|
-
nor
|
191
|
-
noshowcancelled
|
192
|
-
not
|
193
|
-
notif0
|
194
|
-
notif1
|
195
|
-
null
|
196
|
-
or
|
197
|
-
output
|
198
|
-
package
|
199
|
-
packed
|
200
|
-
parameter
|
201
|
-
paramset
|
202
|
-
pmos
|
203
|
-
pmos
|
204
|
-
posedge
|
205
|
-
potential
|
206
|
-
pow
|
207
|
-
primitive
|
208
|
-
priority
|
209
|
-
program
|
210
|
-
property
|
211
|
-
protected
|
212
|
-
pull0
|
213
|
-
pull1
|
214
|
-
pullup
|
215
|
-
pulsestyle_ondetect
|
216
|
-
pulsestyle_onevent
|
217
|
-
pure
|
218
|
-
rand
|
219
|
-
randc
|
220
|
-
randcase
|
221
|
-
randcase
|
222
|
-
randsequence
|
223
|
-
rcmos
|
224
|
-
real
|
225
|
-
realtime
|
226
|
-
ref
|
227
|
-
reg
|
228
|
-
release
|
229
|
-
repeat
|
230
|
-
return
|
231
|
-
rnmos
|
232
|
-
rpmos
|
233
|
-
rtran
|
234
|
-
rtranif0
|
235
|
-
rtranif1
|
236
|
-
scalared
|
237
|
-
semaphore
|
238
|
-
sequence
|
239
|
-
shortint
|
240
|
-
shortreal
|
241
|
-
showcancelled
|
242
|
-
signed
|
243
|
-
sin
|
244
|
-
sinh
|
245
|
-
slew
|
246
|
-
small
|
247
|
-
solve
|
248
|
-
specify
|
249
|
-
specparam
|
250
|
-
sqrt
|
251
|
-
static
|
252
|
-
string
|
253
|
-
strong0
|
254
|
-
strong1
|
255
|
-
struct
|
256
|
-
super
|
257
|
-
supply
|
258
|
-
supply0
|
259
|
-
supply1
|
260
|
-
table
|
261
|
-
tagged
|
262
|
-
tan
|
263
|
-
tanh
|
264
|
-
task
|
265
|
-
then
|
266
|
-
this
|
267
|
-
throughout
|
268
|
-
time
|
269
|
-
timeprecision
|
270
|
-
timer
|
271
|
-
timescale
|
272
|
-
timeunit
|
273
|
-
tran
|
274
|
-
tran
|
275
|
-
tranif0
|
276
|
-
tranif1
|
277
|
-
transition
|
278
|
-
tri
|
279
|
-
tri
|
280
|
-
tri0
|
281
|
-
tri1
|
282
|
-
triand
|
283
|
-
trior
|
284
|
-
trireg
|
285
|
-
type
|
286
|
-
typedef
|
287
|
-
union
|
288
|
-
unique
|
289
|
-
unsigned
|
290
|
-
use
|
291
|
-
uwire
|
292
|
-
var
|
293
|
-
vectored
|
294
|
-
virtual
|
295
|
-
void
|
296
|
-
wait
|
297
|
-
wait_order
|
298
|
-
wand
|
299
|
-
weak0
|
300
|
-
weak1
|
301
|
-
while
|
302
|
-
white_noise
|
303
|
-
wildcard
|
304
|
-
wire
|
305
|
-
with
|
306
|
-
within
|
307
|
-
wor
|
308
|
-
wreal
|
309
|
-
xnor
|
310
|
-
xor
|
311
|
-
zi_nd
|
312
|
-
zi_np
|
313
|
-
zi_zd
|