ruby-vpi 7.3.0 → 8.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (243) hide show
  1. data/HEADER +19 -4
  2. data/HISTORY +34 -1
  3. data/MEMO +2 -2
  4. data/README +14 -1
  5. data/Rakefile +39 -66
  6. data/bin/generate_test.rb +5 -23
  7. data/bin/generate_test_tpl/bench.rb +1 -1
  8. data/bin/generate_test_tpl/bench.v +2 -2
  9. data/bin/generate_test_tpl/proto.rb +8 -3
  10. data/bin/header_to_ruby.rb +3 -3
  11. data/doc/Rakefile +9 -26
  12. data/doc/{xhtml/background.html → background.html} +1 -1
  13. data/doc/{xhtml/background.methodology.html → background.methodology.html} +1 -1
  14. data/doc/{xhtml/background.organization.html → background.organization.html} +1 -1
  15. data/doc/{xhtml/background.running-tests.html → background.running-tests.html} +0 -0
  16. data/doc/{xhtml/background.terminology.html → background.terminology.html} +0 -0
  17. data/doc/{src/figures → figures}/organization.png +0 -0
  18. data/doc/{src/figures → figures}/organization_detailed.png +0 -0
  19. data/doc/{src/figures → figures}/ruby_init.png +0 -0
  20. data/doc/{src/figures → figures}/ruby_relay.png +0 -0
  21. data/doc/{xhtml/gfdl-0.html → gfdl-0.html} +0 -0
  22. data/doc/{xhtml/gfdl-1.html → gfdl-1.html} +0 -0
  23. data/doc/{xhtml/gfdl-10.html → gfdl-10.html} +0 -0
  24. data/doc/{xhtml/gfdl-2.html → gfdl-2.html} +0 -0
  25. data/doc/{xhtml/gfdl-3.html → gfdl-3.html} +0 -0
  26. data/doc/{xhtml/gfdl-4.html → gfdl-4.html} +0 -0
  27. data/doc/{xhtml/gfdl-5.html → gfdl-5.html} +0 -0
  28. data/doc/{xhtml/gfdl-6.html → gfdl-6.html} +0 -0
  29. data/doc/{xhtml/gfdl-7.html → gfdl-7.html} +0 -0
  30. data/doc/{xhtml/gfdl-8.html → gfdl-8.html} +0 -0
  31. data/doc/{xhtml/gfdl-9.html → gfdl-9.html} +0 -0
  32. data/doc/{xhtml/gfdl-addendum.html → gfdl-addendum.html} +0 -0
  33. data/doc/{xhtml/gfdl.html → gfdl.html} +0 -0
  34. data/doc/glossary.html +3 -0
  35. data/doc/{src/images → images}/COPYING +0 -0
  36. data/doc/{src/images → images}/ChangeLog +0 -0
  37. data/doc/{src/images → images}/blank.png +0 -0
  38. data/doc/{src/images → images}/callouts/1.png +0 -0
  39. data/doc/{src/images → images}/callouts/10.png +0 -0
  40. data/doc/{src/images → images}/callouts/11.png +0 -0
  41. data/doc/{src/images → images}/callouts/12.png +0 -0
  42. data/doc/{src/images → images}/callouts/13.png +0 -0
  43. data/doc/{src/images → images}/callouts/14.png +0 -0
  44. data/doc/{src/images → images}/callouts/15.png +0 -0
  45. data/doc/{src/images → images}/callouts/2.png +0 -0
  46. data/doc/{src/images → images}/callouts/3.png +0 -0
  47. data/doc/{src/images → images}/callouts/4.png +0 -0
  48. data/doc/{src/images → images}/callouts/5.png +0 -0
  49. data/doc/{src/images → images}/callouts/6.png +0 -0
  50. data/doc/{src/images → images}/callouts/7.png +0 -0
  51. data/doc/{src/images → images}/callouts/8.png +0 -0
  52. data/doc/{src/images → images}/callouts/9.png +0 -0
  53. data/doc/{src/images → images}/callouts/ChangeLog +0 -0
  54. data/doc/{src/images → images}/caution.png +0 -0
  55. data/doc/{src/images → images}/caution.svg +0 -0
  56. data/doc/{src/images → images}/copyright +0 -0
  57. data/doc/{src/images → images}/draft.png +0 -0
  58. data/doc/{src/images → images}/home.png +0 -0
  59. data/doc/{src/images → images}/home.svg +0 -0
  60. data/doc/{src/images → images}/important.png +0 -0
  61. data/doc/{src/images → images}/important.svg +0 -0
  62. data/doc/{src/images → images}/next.png +0 -0
  63. data/doc/{src/images → images}/next.svg +0 -0
  64. data/doc/{src/images → images}/note.png +0 -0
  65. data/doc/{src/images → images}/note.svg +0 -0
  66. data/doc/{src/images → images}/prev.png +0 -0
  67. data/doc/{src/images → images}/prev.svg +0 -0
  68. data/doc/{src/images → images}/tip.png +0 -0
  69. data/doc/{src/images → images}/tip.svg +0 -0
  70. data/doc/{src/images → images}/toc-blank.png +0 -0
  71. data/doc/{src/images → images}/toc-minus.png +0 -0
  72. data/doc/{src/images → images}/toc-plus.png +0 -0
  73. data/doc/{src/images → images}/up.png +0 -0
  74. data/doc/{src/images → images}/up.svg +0 -0
  75. data/doc/{src/images → images}/warning.png +0 -0
  76. data/doc/{src/images → images}/warning.svg +0 -0
  77. data/doc/{xhtml/index.html → index.html} +2 -2
  78. data/doc/{xhtml/introduction.html → introduction.html} +0 -0
  79. data/doc/{xhtml/introduction.license.html → introduction.license.html} +0 -0
  80. data/doc/{xhtml/introduction.manifest.html → introduction.manifest.html} +1 -1
  81. data/doc/{xhtml/introduction.related-works.html → introduction.related-works.html} +0 -0
  82. data/doc/{xhtml/introduction.resources.html → introduction.resources.html} +1 -1
  83. data/doc/{txt/manual.txt → manual.txt} +50 -49
  84. data/doc/{xhtml/problem.ivl.html → problem.ivl.html} +0 -0
  85. data/doc/{xhtml/problems.html → problems.html} +0 -0
  86. data/doc/{xhtml/problems.ruby.html → problems.ruby.html} +0 -0
  87. data/doc/{xhtml/problems.vsim.html → problems.vsim.html} +0 -0
  88. data/doc/src/manual.xml +53 -39
  89. data/doc/src/shared.dtd +1 -2
  90. data/doc/{xhtml/styles → styles}/manual.css +6 -10
  91. data/doc/{xhtml/usage.examples.html → usage.examples.html} +0 -0
  92. data/doc/{xhtml/usage.html → usage.html} +0 -0
  93. data/doc/{xhtml/usage.installation.html → usage.installation.html} +0 -0
  94. data/doc/{xhtml/usage.requirements.html → usage.requirements.html} +0 -0
  95. data/doc/{xhtml/usage.tools.html → usage.tools.html} +0 -0
  96. data/doc/{xhtml/usage.tutorial.html → usage.tutorial.html} +14 -18
  97. data/gem_extconf.rb +1 -1
  98. data/header.html +18 -4
  99. data/header.part.html +18 -4
  100. data/history.html +87 -5
  101. data/history.part.html +69 -1
  102. data/lib/ruby-vpi.rb +5 -5
  103. data/lib/ruby-vpi/rcov.rb +2 -2
  104. data/lib/ruby-vpi/runner.rb +4 -7
  105. data/lib/ruby-vpi/vpi_util.rb +2 -2
  106. data/memo.html +23 -9
  107. data/memo.part.html +5 -5
  108. data/readme.html +40 -5
  109. data/readme.part.html +22 -1
  110. data/ref/c/annotated.html +1 -1
  111. data/ref/c/common_8h.html +1 -1
  112. data/ref/c/files.html +1 -1
  113. data/ref/c/functions.html +1 -1
  114. data/ref/c/functions_vars.html +1 -1
  115. data/ref/c/globals.html +1 -1
  116. data/ref/c/globals_0x63.html +1 -1
  117. data/ref/c/globals_0x65.html +1 -1
  118. data/ref/c/globals_0x66.html +1 -1
  119. data/ref/c/globals_0x70.html +1 -1
  120. data/ref/c/globals_0x72.html +1 -1
  121. data/ref/c/globals_0x73.html +1 -1
  122. data/ref/c/globals_0x74.html +1 -1
  123. data/ref/c/globals_0x76.html +1 -1
  124. data/ref/c/globals_0x78.html +1 -1
  125. data/ref/c/globals_defs.html +1 -1
  126. data/ref/c/globals_defs_0x65.html +1 -1
  127. data/ref/c/globals_defs_0x70.html +1 -1
  128. data/ref/c/globals_defs_0x76.html +1 -1
  129. data/ref/c/globals_defs_0x78.html +1 -1
  130. data/ref/c/globals_enum.html +1 -1
  131. data/ref/c/globals_eval.html +1 -1
  132. data/ref/c/globals_func.html +1 -1
  133. data/ref/c/globals_type.html +1 -1
  134. data/ref/c/globals_vars.html +1 -1
  135. data/ref/c/hierarchy.html +1 -1
  136. data/ref/c/index.html +1 -1
  137. data/ref/c/relay_8cin.html +1 -1
  138. data/ref/c/relay_8hin.html +1 -1
  139. data/ref/c/ruby-vpi_8c.html +1 -1
  140. data/ref/c/structrelay____RubyOptions____def.html +1 -1
  141. data/ref/c/structt__cb__data.html +1 -1
  142. data/ref/c/structt__vpi__delay.html +1 -1
  143. data/ref/c/structt__vpi__error__info.html +1 -1
  144. data/ref/c/structt__vpi__strengthval.html +1 -1
  145. data/ref/c/structt__vpi__systf__data.html +1 -1
  146. data/ref/c/structt__vpi__time.html +1 -1
  147. data/ref/c/structt__vpi__value.html +1 -1
  148. data/ref/c/structt__vpi__vecval.html +1 -1
  149. data/ref/c/structt__vpi__vlog__info.html +1 -1
  150. data/ref/c/swig_8cin.html +1 -1
  151. data/ref/c/swig_8hin.html +1 -1
  152. data/ref/c/verilog_8h.html +1 -1
  153. data/ref/c/vlog_8cin.html +1 -1
  154. data/ref/c/vlog_8hin.html +1 -1
  155. data/ref/c/vpi__user_8h.html +1 -1
  156. data/ref/ruby/classes/OutputInfo.src/M000014.html +36 -36
  157. data/ref/ruby/classes/{RubyVPI.html → RubyVpi.html} +6 -6
  158. data/ref/ruby/classes/{RubyVPI.src → RubyVpi.src}/M000075.html +5 -5
  159. data/ref/ruby/classes/{RubyVPI.src → RubyVpi.src}/M000076.html +2 -2
  160. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000070.html +2 -2
  161. data/ref/ruby/classes/Template.src/M000015.html +4 -4
  162. data/ref/ruby/classes/XX.html +4 -4
  163. data/ref/ruby/classes/XX/XHTML.html +5 -5
  164. data/ref/ruby/classes/XX/XHTML.src/{M000024.html → M000023.html} +0 -0
  165. data/ref/ruby/classes/XX/XHTML/Strict.html +5 -5
  166. data/ref/ruby/classes/XX/XHTML/Strict.src/{M000026.html → M000025.html} +0 -0
  167. data/ref/ruby/classes/XX/XHTML/Transitional.html +5 -5
  168. data/ref/ruby/classes/XX/XHTML/Transitional.src/{M000025.html → M000024.html} +0 -0
  169. data/ref/ruby/classes/XX/XMLish.html +5 -5
  170. data/ref/ruby/classes/XX/XMLish.src/{M000023.html → M000026.html} +0 -0
  171. data/ref/ruby/created.rid +1 -1
  172. data/ref/ruby/files/bin/generate_test_rb.html +7 -39
  173. data/ref/ruby/files/bin/generate_test_rb.src/M000001.html +15 -15
  174. data/ref/ruby/files/bin/header_to_ruby_rb.html +12 -4
  175. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  176. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  177. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  178. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  179. data/ref/ruby/files/lib/ruby-vpi/rspec_rb.html +1 -1
  180. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +1 -1
  181. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +2 -7
  182. data/ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000002.html +6 -6
  183. data/ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html +1 -1
  184. data/ref/ruby/files/lib/ruby-vpi/vpi_util_rb.html +1 -1
  185. data/ref/ruby/files/lib/ruby-vpi/xx_rb.html +1 -1
  186. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  187. data/ref/ruby/fr_class_index.html +1 -1
  188. data/ref/ruby/fr_method_index.html +10 -10
  189. data/samp/counter/counter_rspecTest_bench.rb +1 -1
  190. data/samp/counter/counter_rspecTest_proto.rb +1 -1
  191. data/samp/counter/counter_unitTest_bench.rb +1 -1
  192. data/samp/counter/counter_unitTest_proto.rb +1 -1
  193. data/samp/pipelined_alu/hw5_unit_test_bench.rb +1 -1
  194. data/samp/pipelined_alu/hw5_unit_test_proto.rb +1 -1
  195. metadata +183 -237
  196. data/doc/src/styles/manual.css +0 -87
  197. data/doc/xhtml/figures/organization.png +0 -0
  198. data/doc/xhtml/figures/organization_detailed.png +0 -0
  199. data/doc/xhtml/figures/ruby_init.png +0 -0
  200. data/doc/xhtml/figures/ruby_relay.png +0 -0
  201. data/doc/xhtml/glossary.html +0 -3
  202. data/doc/xhtml/images/COPYING +0 -67
  203. data/doc/xhtml/images/ChangeLog +0 -27
  204. data/doc/xhtml/images/blank.png +0 -0
  205. data/doc/xhtml/images/callouts/1.png +0 -0
  206. data/doc/xhtml/images/callouts/10.png +0 -0
  207. data/doc/xhtml/images/callouts/11.png +0 -0
  208. data/doc/xhtml/images/callouts/12.png +0 -0
  209. data/doc/xhtml/images/callouts/13.png +0 -0
  210. data/doc/xhtml/images/callouts/14.png +0 -0
  211. data/doc/xhtml/images/callouts/15.png +0 -0
  212. data/doc/xhtml/images/callouts/2.png +0 -0
  213. data/doc/xhtml/images/callouts/3.png +0 -0
  214. data/doc/xhtml/images/callouts/4.png +0 -0
  215. data/doc/xhtml/images/callouts/5.png +0 -0
  216. data/doc/xhtml/images/callouts/6.png +0 -0
  217. data/doc/xhtml/images/callouts/7.png +0 -0
  218. data/doc/xhtml/images/callouts/8.png +0 -0
  219. data/doc/xhtml/images/callouts/9.png +0 -0
  220. data/doc/xhtml/images/callouts/ChangeLog +0 -15
  221. data/doc/xhtml/images/caution.png +0 -0
  222. data/doc/xhtml/images/caution.svg +0 -290
  223. data/doc/xhtml/images/copyright +0 -55
  224. data/doc/xhtml/images/draft.png +0 -0
  225. data/doc/xhtml/images/home.png +0 -0
  226. data/doc/xhtml/images/home.svg +0 -386
  227. data/doc/xhtml/images/important.png +0 -0
  228. data/doc/xhtml/images/important.svg +0 -163
  229. data/doc/xhtml/images/next.png +0 -0
  230. data/doc/xhtml/images/next.svg +0 -191
  231. data/doc/xhtml/images/note.png +0 -0
  232. data/doc/xhtml/images/note.svg +0 -483
  233. data/doc/xhtml/images/prev.png +0 -0
  234. data/doc/xhtml/images/prev.svg +0 -852
  235. data/doc/xhtml/images/tip.png +0 -0
  236. data/doc/xhtml/images/tip.svg +0 -1145
  237. data/doc/xhtml/images/toc-blank.png +0 -0
  238. data/doc/xhtml/images/toc-minus.png +0 -0
  239. data/doc/xhtml/images/toc-plus.png +0 -0
  240. data/doc/xhtml/images/up.png +0 -0
  241. data/doc/xhtml/images/up.svg +0 -195
  242. data/doc/xhtml/images/warning.png +0 -0
  243. data/doc/xhtml/images/warning.svg +0 -334
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sat Sep 30 12:12:53 PDT 2006</td>
59
+ <td>Wed Oct 11 21:49:40 PDT 2006</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sat Sep 30 12:12:52 PDT 2006</td>
59
+ <td>Wed Oct 11 23:36:49 PDT 2006</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -24,7 +24,7 @@
24
24
  <a href="classes/FileUtils.html">FileUtils</a><br />
25
25
  <a href="classes/OutputInfo.html">OutputInfo</a><br />
26
26
  <a href="classes/RDoc.html">RDoc</a><br />
27
- <a href="classes/RubyVPI.html">RubyVPI</a><br />
27
+ <a href="classes/RubyVpi.html">RubyVpi</a><br />
28
28
  <a href="classes/SWIG.html">SWIG</a><br />
29
29
  <a href="classes/SWIG/TYPE_p_unsigned_int.html">SWIG::TYPE_p_unsigned_int</a><br />
30
30
  <a href="classes/String.html">String</a><br />
@@ -32,7 +32,7 @@
32
32
  <a href="classes/XX/HTML4/Transitional.html#M000021">html4_ (XX::HTML4::Transitional)</a><br />
33
33
  <a href="classes/XX/HTML4.html#M000020">html4_ (XX::HTML4)</a><br />
34
34
  <a href="classes/XX/Markup.html#M000027">included (XX::Markup)</a><br />
35
- <a href="classes/RubyVPI.html#M000075">init_bench (RubyVPI)</a><br />
35
+ <a href="classes/RubyVpi.html#M000075">init_bench (RubyVpi)</a><br />
36
36
  <a href="classes/VerilogParser/Module/Port.html#M000006">input? (VerilogParser::Module::Port)</a><br />
37
37
  <a href="classes/SWIG/TYPE_p_unsigned_int.html#M000070">method_missing (SWIG::TYPE_p_unsigned_int)</a><br />
38
38
  <a href="classes/XX/Markup/InstanceMethods.html#M000036">method_missing (XX::Markup::InstanceMethods)</a><br />
@@ -61,29 +61,29 @@
61
61
  <a href="classes/XX/Document.html#M000060">tracking_additions (XX::Document)</a><br />
62
62
  <a href="classes/RDoc.html#M000077">usage_from_file (RDoc)</a><br />
63
63
  <a href="classes/String.html#M000016">verilog_to_ruby (String)</a><br />
64
- <a href="classes/RubyVPI.html#M000076">with_coverage_analysis (RubyVPI)</a><br />
64
+ <a href="classes/RubyVpi.html#M000076">with_coverage_analysis (RubyVpi)</a><br />
65
65
  <a href="files/bin/generate_test_rb.html#M000001">write_file (bin/generate_test.rb)</a><br />
66
66
  <a href="classes/SWIG/TYPE_p_unsigned_int.html#M000065">x? (SWIG::TYPE_p_unsigned_int)</a><br />
67
- <a href="classes/XX/XHTML.html#M000024">xhtml_ (XX::XHTML)</a><br />
68
- <a href="classes/XX/XHTML/Transitional.html#M000025">xhtml_ (XX::XHTML::Transitional)</a><br />
69
- <a href="classes/XX/XHTML/Strict.html#M000026">xhtml_ (XX::XHTML::Strict)</a><br />
67
+ <a href="classes/XX/XHTML.html#M000023">xhtml_ (XX::XHTML)</a><br />
68
+ <a href="classes/XX/XHTML/Transitional.html#M000024">xhtml_ (XX::XHTML::Transitional)</a><br />
69
+ <a href="classes/XX/XHTML/Strict.html#M000025">xhtml_ (XX::XHTML::Strict)</a><br />
70
70
  <a href="classes/XX/XML.html#M000019">xml_ (XX::XML)</a><br />
71
- <a href="classes/XX/XMLish.html#M000023">xmlish_ (XX::XMLish)</a><br />
72
- <a href="classes/XX/Markup/InstanceMethods.html#M000052">xx_ancestors (XX::Markup::InstanceMethods)</a><br />
71
+ <a href="classes/XX/XMLish.html#M000026">xmlish_ (XX::XMLish)</a><br />
73
72
  <a href="classes/XX/Markup/ClassMethods.html#M000032">xx_ancestors (XX::Markup::ClassMethods)</a><br />
73
+ <a href="classes/XX/Markup/InstanceMethods.html#M000052">xx_ancestors (XX::Markup::InstanceMethods)</a><br />
74
74
  <a href="classes/XX/Markup/InstanceMethods.html#M000043">xx_any_ (XX::Markup::InstanceMethods)</a><br />
75
75
  <a href="classes/XX/Markup/InstanceMethods.html#M000044">xx_cdata_ (XX::Markup::InstanceMethods)</a><br />
76
76
  <a href="classes/XX/Markup/InstanceMethods.html#M000047">xx_class (XX::Markup::InstanceMethods)</a><br />
77
- <a href="classes/XX/Markup/InstanceMethods.html#M000053">xx_config (XX::Markup::InstanceMethods)</a><br />
78
77
  <a href="classes/XX/Markup/ClassMethods.html#M000033">xx_config (XX::Markup::ClassMethods)</a><br />
78
+ <a href="classes/XX/Markup/InstanceMethods.html#M000053">xx_config (XX::Markup::InstanceMethods)</a><br />
79
79
  <a href="classes/XX/Markup/InstanceMethods.html#M000054">xx_config_for (XX::Markup::InstanceMethods)</a><br />
80
80
  <a href="classes/XX/Markup/ClassMethods.html#M000034">xx_config_for (XX::Markup::ClassMethods)</a><br />
81
81
  <a href="classes/XX/Markup/InstanceMethods.html#M000055">xx_configure (XX::Markup::InstanceMethods)</a><br />
82
82
  <a href="classes/XX/Markup/ClassMethods.html#M000035">xx_configure (XX::Markup::ClassMethods)</a><br />
83
- <a href="classes/XX/Markup/ClassMethods.html#M000030">xx_define_tag_method (XX::Markup::ClassMethods)</a><br />
84
83
  <a href="classes/XX/Markup/InstanceMethods.html#M000050">xx_define_tag_method (XX::Markup::InstanceMethods)</a><br />
85
- <a href="classes/XX/Markup/ClassMethods.html#M000029">xx_define_tmp_method (XX::Markup::ClassMethods)</a><br />
84
+ <a href="classes/XX/Markup/ClassMethods.html#M000030">xx_define_tag_method (XX::Markup::ClassMethods)</a><br />
86
85
  <a href="classes/XX/Markup/InstanceMethods.html#M000049">xx_define_tmp_method (XX::Markup::InstanceMethods)</a><br />
86
+ <a href="classes/XX/Markup/ClassMethods.html#M000029">xx_define_tmp_method (XX::Markup::ClassMethods)</a><br />
87
87
  <a href="classes/XX/Markup/InstanceMethods.html#M000040">xx_doc (XX::Markup::InstanceMethods)</a><br />
88
88
  <a href="classes/XX/Markup/InstanceMethods.html#M000042">xx_markup_ (XX::Markup::InstanceMethods)</a><br />
89
89
  <a href="classes/XX/Markup/InstanceMethods.html#M000045">xx_parse_attributes (XX::Markup::InstanceMethods)</a><br />
@@ -3,7 +3,7 @@
3
3
  require 'ruby-vpi'
4
4
  require 'ruby-vpi/rspec'
5
5
 
6
- RubyVPI.init_bench 'counter_rspecTest', :CounterProto
6
+ RubyVpi.init_bench 'counter_rspecTest', :CounterPrototype
7
7
 
8
8
  # service the $ruby_relay callback
9
9
  # The RSpec library will take control henceforth.
@@ -1,5 +1,5 @@
1
1
  # A prototype of the design under test.
2
- class CounterProto < Counter
2
+ class CounterPrototype < Counter
3
3
  def simulate!
4
4
  if @reset.intVal == 1
5
5
  @count.intVal = 0
@@ -3,7 +3,7 @@
3
3
  require 'ruby-vpi'
4
4
  require 'test/unit'
5
5
 
6
- RubyVPI.init_bench 'counter_unitTest', :CounterProto
6
+ RubyVpi.init_bench 'counter_unitTest', :CounterPrototype
7
7
 
8
8
  # service the $ruby_relay callback
9
9
  # The UnitTest library will take control henceforth.
@@ -1,5 +1,5 @@
1
1
  # A prototype of the design under test.
2
- class CounterProto < Counter
2
+ class CounterPrototype < Counter
3
3
  def simulate!
4
4
  if @reset.intVal == 1
5
5
  @count.intVal = 0
@@ -3,7 +3,7 @@
3
3
  require 'ruby-vpi'
4
4
  require 'test/unit'
5
5
 
6
- RubyVPI.init_bench 'hw5_unit_test', :Hw5_unitProto
6
+ RubyVpi.init_bench 'hw5_unit_test', :Hw5_unitPrototype
7
7
 
8
8
  # service the $ruby_relay callback
9
9
  # The UnitTest library will take control henceforth.
@@ -1,5 +1,5 @@
1
1
  # A prototype of the design under test.
2
- class Hw5_unitProto < Hw5_unit
2
+ class Hw5_unitPrototype < Hw5_unit
3
3
  def simulate!
4
4
  # read inputs
5
5
  # simulate design's behavior
metadata CHANGED
@@ -3,8 +3,8 @@ rubygems_version: 0.9.0
3
3
  specification_version: 1
4
4
  name: ruby-vpi
5
5
  version: !ruby/object:Gem::Version
6
- version: 7.3.0
7
- date: 2006-09-30 00:00:00 -07:00
6
+ version: 8.0.0
7
+ date: 2006-10-13 00:00:00 -07:00
8
8
  summary: Ruby interface to Verilog VPI.
9
9
  require_paths:
10
10
  - lib
@@ -29,20 +29,20 @@ post_install_message:
29
29
  authors: []
30
30
 
31
31
  files:
32
- - ref
33
- - Rakefile
34
- - samp
35
- - MEMO
36
- - doc
37
- - ext
38
32
  - LICENSE
39
- - README
40
- - gem_extconf.rb
41
- - lib
42
33
  - HISTORY
43
- - HEADER
34
+ - ext
35
+ - Rakefile
44
36
  - bin
37
+ - lib
38
+ - README
39
+ - ref
40
+ - doc
41
+ - HEADER
42
+ - MEMO
43
+ - samp
45
44
  - style.css
45
+ - gem_extconf.rb
46
46
  - header.html
47
47
  - header.part.html
48
48
  - readme.html
@@ -51,6 +51,45 @@ files:
51
51
  - history.part.html
52
52
  - memo.html
53
53
  - memo.part.html
54
+ - ext/swig_vpi.i
55
+ - ext/swig.hin
56
+ - ext/Rakefile
57
+ - ext/swig.cin
58
+ - ext/common.h
59
+ - ext/extconf.rb
60
+ - ext/relay.cin
61
+ - ext/relay.hin
62
+ - ext/ruby-vpi.c
63
+ - ext/verilog.h
64
+ - ext/vlog.cin
65
+ - ext/vlog.hin
66
+ - ext/swig_vpi.h
67
+ - ext/README
68
+ - ext/Doxyfile
69
+ - ext/swig_wrap.cin
70
+ - ext/vpi_user.h
71
+ - bin/generate_test.rb
72
+ - bin/generate_test_tpl
73
+ - bin/header_to_ruby.rb
74
+ - bin/generate_test_tpl/runner.rake
75
+ - bin/generate_test_tpl/bench.rb
76
+ - bin/generate_test_tpl/bench.v
77
+ - bin/generate_test_tpl/design.rb
78
+ - bin/generate_test_tpl/proto.rb
79
+ - bin/generate_test_tpl/spec.rb
80
+ - lib/ruby-vpi.rb
81
+ - lib/ruby-vpi
82
+ - lib/ruby-vpi/erb.rb
83
+ - lib/ruby-vpi/rake.rb
84
+ - lib/ruby-vpi/rspec.rb
85
+ - lib/ruby-vpi/vpi_util.rb
86
+ - lib/ruby-vpi/rdoc.rb
87
+ - lib/ruby-vpi/runner_proxy.rb
88
+ - lib/ruby-vpi/synopsys_vcs.tab
89
+ - lib/ruby-vpi/rcov.rb
90
+ - lib/ruby-vpi/xx.rb
91
+ - lib/ruby-vpi/runner.rb
92
+ - lib/ruby-vpi/verilog_parser.rb
54
93
  - ref/c
55
94
  - ref/ruby
56
95
  - ref/c/doxygen.png
@@ -122,15 +161,15 @@ files:
122
161
  - ref/ruby/files/lib/ruby-vpi
123
162
  - ref/ruby/files/lib/ruby-vpi_rb.html
124
163
  - ref/ruby/files/lib/ruby-vpi/runner_rb.src
164
+ - ref/ruby/files/lib/ruby-vpi/erb_rb.html
165
+ - ref/ruby/files/lib/ruby-vpi/rake_rb.html
125
166
  - ref/ruby/files/lib/ruby-vpi/rspec_rb.html
126
167
  - ref/ruby/files/lib/ruby-vpi/vpi_util_rb.html
127
- - ref/ruby/files/lib/ruby-vpi/rake_rb.html
128
- - ref/ruby/files/lib/ruby-vpi/erb_rb.html
129
- - ref/ruby/files/lib/ruby-vpi/xx_rb.html
130
- - ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html
131
168
  - ref/ruby/files/lib/ruby-vpi/rdoc_rb.html
132
- - ref/ruby/files/lib/ruby-vpi/runner_rb.html
169
+ - ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html
133
170
  - ref/ruby/files/lib/ruby-vpi/rcov_rb.html
171
+ - ref/ruby/files/lib/ruby-vpi/xx_rb.html
172
+ - ref/ruby/files/lib/ruby-vpi/runner_rb.html
134
173
  - ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html
135
174
  - ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000002.html
136
175
  - ref/ruby/classes/VerilogParser.src
@@ -143,7 +182,7 @@ files:
143
182
  - ref/ruby/classes/XX
144
183
  - ref/ruby/classes/SWIG
145
184
  - ref/ruby/classes/FileUtils.src
146
- - ref/ruby/classes/RubyVPI.src
185
+ - ref/ruby/classes/RubyVpi.src
147
186
  - ref/ruby/classes/RDoc.src
148
187
  - ref/ruby/classes/VerilogParser.html
149
188
  - ref/ruby/classes/Table.html
@@ -154,7 +193,7 @@ files:
154
193
  - ref/ruby/classes/XX.html
155
194
  - ref/ruby/classes/SWIG.html
156
195
  - ref/ruby/classes/FileUtils.html
157
- - ref/ruby/classes/RubyVPI.html
196
+ - ref/ruby/classes/RubyVpi.html
158
197
  - ref/ruby/classes/RDoc.html
159
198
  - ref/ruby/classes/VerilogParser.src/M000003.html
160
199
  - ref/ruby/classes/VerilogParser/Module.src
@@ -182,16 +221,16 @@ files:
182
221
  - ref/ruby/classes/XX/XML.src
183
222
  - ref/ruby/classes/XX/HTML4.src
184
223
  - ref/ruby/classes/XX/HTML4
185
- - ref/ruby/classes/XX/XMLish.src
186
224
  - ref/ruby/classes/XX/XHTML.src
187
225
  - ref/ruby/classes/XX/XHTML
226
+ - ref/ruby/classes/XX/XMLish.src
188
227
  - ref/ruby/classes/XX/Markup.src
189
228
  - ref/ruby/classes/XX/Markup
190
229
  - ref/ruby/classes/XX/Document.src
191
230
  - ref/ruby/classes/XX/XML.html
192
231
  - ref/ruby/classes/XX/HTML4.html
193
- - ref/ruby/classes/XX/XMLish.html
194
232
  - ref/ruby/classes/XX/XHTML.html
233
+ - ref/ruby/classes/XX/XMLish.html
195
234
  - ref/ruby/classes/XX/Markup.html
196
235
  - ref/ruby/classes/XX/Document.html
197
236
  - ref/ruby/classes/XX/XML.src/M000019.html
@@ -202,14 +241,14 @@ files:
202
241
  - ref/ruby/classes/XX/HTML4/Strict.html
203
242
  - ref/ruby/classes/XX/HTML4/Transitional.src/M000021.html
204
243
  - ref/ruby/classes/XX/HTML4/Strict.src/M000022.html
205
- - ref/ruby/classes/XX/XMLish.src/M000023.html
206
- - ref/ruby/classes/XX/XHTML.src/M000024.html
244
+ - ref/ruby/classes/XX/XHTML.src/M000023.html
207
245
  - ref/ruby/classes/XX/XHTML/Transitional.src
208
246
  - ref/ruby/classes/XX/XHTML/Strict.src
209
247
  - ref/ruby/classes/XX/XHTML/Transitional.html
210
248
  - ref/ruby/classes/XX/XHTML/Strict.html
211
- - ref/ruby/classes/XX/XHTML/Transitional.src/M000025.html
212
- - ref/ruby/classes/XX/XHTML/Strict.src/M000026.html
249
+ - ref/ruby/classes/XX/XHTML/Transitional.src/M000024.html
250
+ - ref/ruby/classes/XX/XHTML/Strict.src/M000025.html
251
+ - ref/ruby/classes/XX/XMLish.src/M000026.html
213
252
  - ref/ruby/classes/XX/Markup.src/M000027.html
214
253
  - ref/ruby/classes/XX/Markup/ClassMethods.src
215
254
  - ref/ruby/classes/XX/Markup/InstanceMethods.src
@@ -265,233 +304,140 @@ files:
265
304
  - ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000072.html
266
305
  - ref/ruby/classes/FileUtils.src/M000073.html
267
306
  - ref/ruby/classes/FileUtils.src/M000074.html
268
- - ref/ruby/classes/RubyVPI.src/M000075.html
269
- - ref/ruby/classes/RubyVPI.src/M000076.html
307
+ - ref/ruby/classes/RubyVpi.src/M000075.html
308
+ - ref/ruby/classes/RubyVpi.src/M000076.html
270
309
  - ref/ruby/classes/RDoc.src/M000077.html
271
- - samp/counter
310
+ - doc/src
311
+ - doc/Rakefile
312
+ - doc/background.html
313
+ - doc/figures
314
+ - doc/background.methodology.html
315
+ - doc/background.organization.html
316
+ - doc/background.running-tests.html
317
+ - doc/background.terminology.html
318
+ - doc/gfdl-0.html
319
+ - doc/gfdl-10.html
320
+ - doc/gfdl-1.html
321
+ - doc/gfdl-2.html
322
+ - doc/gfdl-3.html
323
+ - doc/gfdl-4.html
324
+ - doc/gfdl-5.html
325
+ - doc/gfdl-6.html
326
+ - doc/gfdl-7.html
327
+ - doc/gfdl-8.html
328
+ - doc/gfdl-9.html
329
+ - doc/gfdl-addendum.html
330
+ - doc/gfdl.html
331
+ - doc/glossary.html
332
+ - doc/index.html
333
+ - doc/introduction.html
334
+ - doc/introduction.license.html
335
+ - doc/introduction.manifest.html
336
+ - doc/introduction.related-works.html
337
+ - doc/introduction.resources.html
338
+ - doc/problem.ivl.html
339
+ - doc/problems.html
340
+ - doc/problems.ruby.html
341
+ - doc/problems.vsim.html
342
+ - doc/usage.examples.html
343
+ - doc/usage.html
344
+ - doc/usage.installation.html
345
+ - doc/usage.requirements.html
346
+ - doc/usage.tools.html
347
+ - doc/usage.tutorial.html
348
+ - doc/manual.txt
349
+ - doc/styles
350
+ - doc/images
351
+ - doc/src/license.xml
352
+ - doc/src/LICENSE
353
+ - doc/src/manual.xml
354
+ - doc/src/shared.dtd
355
+ - doc/src/figures.dia
356
+ - doc/src/manual.xsl
357
+ - doc/figures/ruby_init.png
358
+ - doc/figures/ruby_relay.png
359
+ - doc/figures/organization.png
360
+ - doc/figures/organization_detailed.png
361
+ - doc/styles/manual.css
362
+ - doc/images/callouts
363
+ - doc/images/ChangeLog
364
+ - doc/images/blank.png
365
+ - doc/images/caution.png
366
+ - doc/images/caution.svg
367
+ - doc/images/copyright
368
+ - doc/images/draft.png
369
+ - doc/images/home.png
370
+ - doc/images/home.svg
371
+ - doc/images/important.png
372
+ - doc/images/important.svg
373
+ - doc/images/next.png
374
+ - doc/images/next.svg
375
+ - doc/images/note.png
376
+ - doc/images/note.svg
377
+ - doc/images/prev.png
378
+ - doc/images/prev.svg
379
+ - doc/images/tip.png
380
+ - doc/images/tip.svg
381
+ - doc/images/toc-blank.png
382
+ - doc/images/toc-minus.png
383
+ - doc/images/toc-plus.png
384
+ - doc/images/up.png
385
+ - doc/images/up.svg
386
+ - doc/images/warning.png
387
+ - doc/images/warning.svg
388
+ - doc/images/COPYING
389
+ - doc/images/callouts/1.png
390
+ - doc/images/callouts/10.png
391
+ - doc/images/callouts/11.png
392
+ - doc/images/callouts/12.png
393
+ - doc/images/callouts/13.png
394
+ - doc/images/callouts/14.png
395
+ - doc/images/callouts/15.png
396
+ - doc/images/callouts/2.png
397
+ - doc/images/callouts/3.png
398
+ - doc/images/callouts/4.png
399
+ - doc/images/callouts/5.png
400
+ - doc/images/callouts/6.png
401
+ - doc/images/callouts/7.png
402
+ - doc/images/callouts/8.png
403
+ - doc/images/callouts/9.png
404
+ - doc/images/callouts/ChangeLog
272
405
  - samp/pipelined_alu
273
- - samp/counter/counter.v
274
- - samp/counter/Rakefile
275
- - samp/counter/counter_rspecTest_runner.rake
276
- - samp/counter/counter_unitTest_bench.rb
277
- - samp/counter/counter_rspecTest_bench.rb
278
- - samp/counter/counter_rspecTest_proto.rb
279
- - samp/counter/counter_unitTest_design.rb
280
- - samp/counter/counter_unitTest_bench.v
281
- - samp/counter/counter_unitTest_proto.rb
282
- - samp/counter/counter_rspecTest_design.rb
283
- - samp/counter/counter_unitTest_spec.rb
284
- - samp/counter/counter_rspecTest_bench.v
285
- - samp/counter/counter_rspecTest_spec.rb
286
- - samp/counter/counter_unitTest_runner.rake
287
- - samp/pipelined_alu/hw5_unit_test_proto.rb
288
- - samp/pipelined_alu/hw5_unit.v
406
+ - samp/counter
407
+ - samp/pipelined_alu/TestHw5UnitModel.rb
289
408
  - samp/pipelined_alu/Hw5UnitModel.rb
290
409
  - samp/pipelined_alu/InputGenerator.rb
291
410
  - samp/pipelined_alu/README
411
+ - samp/pipelined_alu/hw5_unit.v
292
412
  - samp/pipelined_alu/Rakefile
293
- - samp/pipelined_alu/hw5_unit_test_runner.rake
294
413
  - samp/pipelined_alu/hw5_unit_test_spec.rb
295
- - samp/pipelined_alu/TestHw5UnitModel.rb
414
+ - samp/pipelined_alu/hw5_unit_test_bench.v
415
+ - samp/pipelined_alu/hw5_unit_test_runner.rake
296
416
  - samp/pipelined_alu/hw5_unit_test_bench.rb
297
417
  - samp/pipelined_alu/hw5_unit_test_design.rb
298
- - samp/pipelined_alu/hw5_unit_test_bench.v
299
- - doc/txt
300
- - doc/Rakefile
301
- - doc/src
302
- - doc/xhtml
303
- - doc/txt/manual.txt
304
- - doc/src/figures
305
- - doc/src/images
306
- - doc/src/LICENSE
307
- - doc/src/figures.dia
308
- - doc/src/license.xml
309
- - doc/src/manual.xml
310
- - doc/src/manual.xsl
311
- - doc/src/styles
312
- - doc/src/shared.dtd
313
- - doc/src/figures/ruby_relay.png
314
- - doc/src/figures/organization.png
315
- - doc/src/figures/ruby_init.png
316
- - doc/src/figures/organization_detailed.png
317
- - doc/src/images/blank.png
318
- - doc/src/images/callouts
319
- - doc/src/images/caution.svg
320
- - doc/src/images/caution.png
321
- - doc/src/images/tip.svg
322
- - doc/src/images/home.svg
323
- - doc/src/images/note.svg
324
- - doc/src/images/draft.png
325
- - doc/src/images/up.svg
326
- - doc/src/images/home.png
327
- - doc/src/images/next.svg
328
- - doc/src/images/important.svg
329
- - doc/src/images/important.png
330
- - doc/src/images/prev.svg
331
- - doc/src/images/next.png
332
- - doc/src/images/ChangeLog
333
- - doc/src/images/note.png
334
- - doc/src/images/warning.svg
335
- - doc/src/images/prev.png
336
- - doc/src/images/tip.png
337
- - doc/src/images/toc-blank.png
338
- - doc/src/images/toc-minus.png
339
- - doc/src/images/toc-plus.png
340
- - doc/src/images/up.png
341
- - doc/src/images/warning.png
342
- - doc/src/images/copyright
343
- - doc/src/images/COPYING
344
- - doc/src/images/callouts/ChangeLog
345
- - doc/src/images/callouts/1.png
346
- - doc/src/images/callouts/10.png
347
- - doc/src/images/callouts/11.png
348
- - doc/src/images/callouts/12.png
349
- - doc/src/images/callouts/13.png
350
- - doc/src/images/callouts/14.png
351
- - doc/src/images/callouts/15.png
352
- - doc/src/images/callouts/2.png
353
- - doc/src/images/callouts/3.png
354
- - doc/src/images/callouts/4.png
355
- - doc/src/images/callouts/5.png
356
- - doc/src/images/callouts/6.png
357
- - doc/src/images/callouts/7.png
358
- - doc/src/images/callouts/8.png
359
- - doc/src/images/callouts/9.png
360
- - doc/src/styles/manual.css
361
- - doc/xhtml/background.html
362
- - doc/xhtml/background.methodology.html
363
- - doc/xhtml/background.organization.html
364
- - doc/xhtml/background.running-tests.html
365
- - doc/xhtml/background.terminology.html
366
- - doc/xhtml/gfdl-0.html
367
- - doc/xhtml/gfdl-10.html
368
- - doc/xhtml/gfdl-1.html
369
- - doc/xhtml/gfdl-2.html
370
- - doc/xhtml/gfdl-3.html
371
- - doc/xhtml/gfdl-4.html
372
- - doc/xhtml/gfdl-5.html
373
- - doc/xhtml/gfdl-6.html
374
- - doc/xhtml/gfdl-7.html
375
- - doc/xhtml/gfdl-8.html
376
- - doc/xhtml/gfdl-9.html
377
- - doc/xhtml/gfdl-addendum.html
378
- - doc/xhtml/gfdl.html
379
- - doc/xhtml/glossary.html
380
- - doc/xhtml/index.html
381
- - doc/xhtml/introduction.html
382
- - doc/xhtml/introduction.license.html
383
- - doc/xhtml/introduction.manifest.html
384
- - doc/xhtml/introduction.related-works.html
385
- - doc/xhtml/introduction.resources.html
386
- - doc/xhtml/problem.ivl.html
387
- - doc/xhtml/problems.html
388
- - doc/xhtml/problems.ruby.html
389
- - doc/xhtml/problems.vsim.html
390
- - doc/xhtml/usage.examples.html
391
- - doc/xhtml/usage.html
392
- - doc/xhtml/usage.installation.html
393
- - doc/xhtml/usage.requirements.html
394
- - doc/xhtml/usage.tools.html
395
- - doc/xhtml/usage.tutorial.html
396
- - doc/xhtml/figures
397
- - doc/xhtml/images
398
- - doc/xhtml/styles
399
- - doc/xhtml/figures/ruby_relay.png
400
- - doc/xhtml/figures/organization.png
401
- - doc/xhtml/figures/ruby_init.png
402
- - doc/xhtml/figures/organization_detailed.png
403
- - doc/xhtml/images/blank.png
404
- - doc/xhtml/images/callouts
405
- - doc/xhtml/images/caution.svg
406
- - doc/xhtml/images/caution.png
407
- - doc/xhtml/images/tip.svg
408
- - doc/xhtml/images/home.svg
409
- - doc/xhtml/images/note.svg
410
- - doc/xhtml/images/draft.png
411
- - doc/xhtml/images/up.svg
412
- - doc/xhtml/images/home.png
413
- - doc/xhtml/images/next.svg
414
- - doc/xhtml/images/important.svg
415
- - doc/xhtml/images/important.png
416
- - doc/xhtml/images/prev.svg
417
- - doc/xhtml/images/next.png
418
- - doc/xhtml/images/ChangeLog
419
- - doc/xhtml/images/note.png
420
- - doc/xhtml/images/warning.svg
421
- - doc/xhtml/images/prev.png
422
- - doc/xhtml/images/tip.png
423
- - doc/xhtml/images/toc-blank.png
424
- - doc/xhtml/images/toc-minus.png
425
- - doc/xhtml/images/toc-plus.png
426
- - doc/xhtml/images/up.png
427
- - doc/xhtml/images/warning.png
428
- - doc/xhtml/images/copyright
429
- - doc/xhtml/images/COPYING
430
- - doc/xhtml/images/callouts/ChangeLog
431
- - doc/xhtml/images/callouts/1.png
432
- - doc/xhtml/images/callouts/10.png
433
- - doc/xhtml/images/callouts/11.png
434
- - doc/xhtml/images/callouts/12.png
435
- - doc/xhtml/images/callouts/13.png
436
- - doc/xhtml/images/callouts/14.png
437
- - doc/xhtml/images/callouts/15.png
438
- - doc/xhtml/images/callouts/2.png
439
- - doc/xhtml/images/callouts/3.png
440
- - doc/xhtml/images/callouts/4.png
441
- - doc/xhtml/images/callouts/5.png
442
- - doc/xhtml/images/callouts/6.png
443
- - doc/xhtml/images/callouts/7.png
444
- - doc/xhtml/images/callouts/8.png
445
- - doc/xhtml/images/callouts/9.png
446
- - doc/xhtml/styles/manual.css
447
- - ext/extconf.rb
448
- - ext/Doxyfile
449
- - ext/README
450
- - ext/common.h
451
- - ext/relay.cin
452
- - ext/relay.hin
453
- - ext/ruby-vpi.c
454
- - ext/swig.cin
455
- - ext/swig.hin
456
- - ext/swig_vpi.i
457
- - ext/verilog.h
458
- - ext/vlog.cin
459
- - ext/vlog.hin
460
- - ext/vpi_user.h
461
- - ext/Rakefile
462
- - ext/swig_vpi.h
463
- - ext/swig_wrap.cin
464
- - lib/ruby-vpi.rb
465
- - lib/ruby-vpi
466
- - lib/ruby-vpi/rspec.rb
467
- - lib/ruby-vpi/vpi_util.rb
468
- - lib/ruby-vpi/rake.rb
469
- - lib/ruby-vpi/erb.rb
470
- - lib/ruby-vpi/xx.rb
471
- - lib/ruby-vpi/runner_proxy.rb
472
- - lib/ruby-vpi/rdoc.rb
473
- - lib/ruby-vpi/runner.rb
474
- - lib/ruby-vpi/synopsys_vcs.tab
475
- - lib/ruby-vpi/rcov.rb
476
- - lib/ruby-vpi/verilog_parser.rb
477
- - bin/generate_test.rb
478
- - bin/header_to_ruby.rb
479
- - bin/generate_test_tpl
480
- - bin/generate_test_tpl/bench.v
481
- - bin/generate_test_tpl/bench.rb
482
- - bin/generate_test_tpl/design.rb
483
- - bin/generate_test_tpl/proto.rb
484
- - bin/generate_test_tpl/spec.rb
485
- - bin/generate_test_tpl/runner.rake
418
+ - samp/pipelined_alu/hw5_unit_test_proto.rb
419
+ - samp/counter/counter.v
420
+ - samp/counter/Rakefile
421
+ - samp/counter/counter_rspecTest_runner.rake
422
+ - samp/counter/counter_rspecTest_bench.v
423
+ - samp/counter/counter_rspecTest_spec.rb
424
+ - samp/counter/counter_rspecTest_bench.rb
425
+ - samp/counter/counter_rspecTest_design.rb
426
+ - samp/counter/counter_unitTest_bench.rb
427
+ - samp/counter/counter_unitTest_bench.v
428
+ - samp/counter/counter_unitTest_design.rb
429
+ - samp/counter/counter_unitTest_runner.rake
430
+ - samp/counter/counter_unitTest_spec.rb
431
+ - samp/counter/counter_rspecTest_proto.rb
432
+ - samp/counter/counter_unitTest_proto.rb
486
433
  test_files: []
487
434
 
488
435
  rdoc_options: []
489
436
 
490
437
  extra_rdoc_files: []
491
438
 
492
- executables:
493
- - generate_test.rb
494
- - header_to_ruby.rb
439
+ executables: []
440
+
495
441
  extensions:
496
442
  - gem_extconf.rb
497
443
  requirements: