ruby-vpi 7.1.0 → 7.2.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (232) hide show
  1. data/HEADER +101 -0
  2. data/HISTORY +57 -37
  3. data/README +1 -1
  4. data/Rakefile +21 -13
  5. data/doc/src/manual.xml +5 -5
  6. data/doc/txt/manual.txt +10 -6
  7. data/doc/xhtml/background.organization.html +1 -1
  8. data/doc/xhtml/index.html +2 -2
  9. data/doc/xhtml/usage.tutorial.html +4 -4
  10. data/header.html +114 -0
  11. data/header.part.html +114 -0
  12. data/history.html +217 -68
  13. data/history.part.html +880 -0
  14. data/lib/ruby-vpi/rcov.rb +62 -0
  15. data/lib/ruby-vpi/rspec.rb +1 -1
  16. data/lib/ruby-vpi/xx.rb +761 -0
  17. data/lib/ruby-vpi.rb +13 -2
  18. data/memo.html +116 -1
  19. data/memo.part.html +86 -0
  20. data/readme.html +116 -1
  21. data/readme.part.html +21 -0
  22. data/ref/c/annotated.html +1 -1
  23. data/ref/c/common_8h.html +1 -1
  24. data/ref/c/files.html +1 -1
  25. data/ref/c/functions.html +1 -1
  26. data/ref/c/functions_vars.html +1 -1
  27. data/ref/c/globals.html +1 -1
  28. data/ref/c/globals_0x63.html +1 -1
  29. data/ref/c/globals_0x65.html +1 -1
  30. data/ref/c/globals_0x66.html +1 -1
  31. data/ref/c/globals_0x70.html +1 -1
  32. data/ref/c/globals_0x72.html +1 -1
  33. data/ref/c/globals_0x73.html +1 -1
  34. data/ref/c/globals_0x74.html +1 -1
  35. data/ref/c/globals_0x76.html +1 -1
  36. data/ref/c/globals_0x78.html +1 -1
  37. data/ref/c/globals_defs.html +1 -1
  38. data/ref/c/globals_defs_0x65.html +1 -1
  39. data/ref/c/globals_defs_0x70.html +1 -1
  40. data/ref/c/globals_defs_0x76.html +1 -1
  41. data/ref/c/globals_defs_0x78.html +1 -1
  42. data/ref/c/globals_enum.html +1 -1
  43. data/ref/c/globals_eval.html +1 -1
  44. data/ref/c/globals_func.html +1 -1
  45. data/ref/c/globals_type.html +1 -1
  46. data/ref/c/globals_vars.html +1 -1
  47. data/ref/c/hierarchy.html +1 -1
  48. data/ref/c/index.html +1 -1
  49. data/ref/c/relay_8cin.html +1 -1
  50. data/ref/c/relay_8hin.html +1 -1
  51. data/ref/c/ruby-vpi_8c.html +1 -1
  52. data/ref/c/structrelay____RubyOptions____def.html +1 -1
  53. data/ref/c/structt__cb__data.html +1 -1
  54. data/ref/c/structt__vpi__delay.html +1 -1
  55. data/ref/c/structt__vpi__error__info.html +1 -1
  56. data/ref/c/structt__vpi__strengthval.html +1 -1
  57. data/ref/c/structt__vpi__systf__data.html +1 -1
  58. data/ref/c/structt__vpi__time.html +1 -1
  59. data/ref/c/structt__vpi__value.html +1 -1
  60. data/ref/c/structt__vpi__vecval.html +1 -1
  61. data/ref/c/structt__vpi__vlog__info.html +1 -1
  62. data/ref/c/swig_8cin.html +1 -1
  63. data/ref/c/swig_8hin.html +1 -1
  64. data/ref/c/verilog_8h.html +1 -1
  65. data/ref/c/vlog_8cin.html +1 -1
  66. data/ref/c/vlog_8hin.html +1 -1
  67. data/ref/c/vpi__user_8h.html +1 -1
  68. data/ref/ruby/classes/ERB.html +5 -5
  69. data/ref/ruby/classes/ERB.src/{M000035.html → M000011.html} +0 -0
  70. data/ref/ruby/classes/FileUtils.html +10 -10
  71. data/ref/ruby/classes/FileUtils.src/{M000048.html → M000065.html} +0 -0
  72. data/ref/ruby/classes/FileUtils.src/{M000049.html → M000066.html} +0 -0
  73. data/ref/ruby/classes/ModuleInfo.html +10 -10
  74. data/ref/ruby/classes/ModuleInfo.src/{M000019.html → M000008.html} +0 -0
  75. data/ref/ruby/classes/ModuleInfo.src/{M000020.html → M000009.html} +0 -0
  76. data/ref/ruby/classes/OutputInfo.html +5 -5
  77. data/ref/ruby/classes/OutputInfo.src/{M000018.html → M000007.html} +0 -0
  78. data/ref/ruby/classes/RDoc.html +5 -5
  79. data/ref/ruby/classes/RDoc.src/{M000051.html → M000069.html} +0 -0
  80. data/ref/ruby/classes/RubyVPI.html +45 -5
  81. data/ref/ruby/classes/RubyVPI.src/M000067.html +50 -0
  82. data/ref/ruby/classes/RubyVPI.src/M000068.html +20 -0
  83. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.html +35 -35
  84. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000042.html → M000059.html} +0 -0
  85. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000043.html → M000060.html} +0 -0
  86. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000044.html → M000061.html} +0 -0
  87. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000045.html → M000062.html} +1 -1
  88. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000046.html → M000063.html} +0 -0
  89. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/{M000047.html → M000064.html} +1 -1
  90. data/ref/ruby/classes/String.html +5 -5
  91. data/ref/ruby/classes/String.src/{M000034.html → M000012.html} +0 -0
  92. data/ref/ruby/classes/{ResettedCounterValue.html → Table.html} +41 -24
  93. data/ref/ruby/classes/Table.src/M000003.html +38 -0
  94. data/ref/ruby/classes/Table.src/M000004.html +18 -0
  95. data/ref/ruby/classes/Table.src/M000005.html +18 -0
  96. data/ref/ruby/classes/{InputGenerator.src/M000029.html → Table.src/M000006.html} +5 -5
  97. data/ref/ruby/classes/Template.html +5 -5
  98. data/ref/ruby/classes/Template.src/{M000027.html → M000010.html} +0 -0
  99. data/ref/ruby/classes/XX/Document.html +295 -0
  100. data/ref/ruby/classes/XX/Document.src/M000050.html +22 -0
  101. data/ref/ruby/classes/XX/Document.src/M000051.html +20 -0
  102. data/ref/ruby/classes/XX/Document.src/M000052.html +20 -0
  103. data/ref/ruby/classes/XX/Document.src/M000053.html +20 -0
  104. data/ref/ruby/classes/XX/Document.src/M000054.html +22 -0
  105. data/ref/ruby/classes/XX/Document.src/M000055.html +21 -0
  106. data/ref/ruby/classes/XX/Document.src/M000056.html +21 -0
  107. data/ref/ruby/classes/XX/Document.src/M000057.html +34 -0
  108. data/ref/ruby/classes/XX/Document.src/M000058.html +98 -0
  109. data/ref/ruby/{files/bin/generate_test_tpl/spec_rb.html → classes/XX/HTML4/Strict.html} +26 -28
  110. data/ref/ruby/classes/XX/HTML4/Strict.src/M000016.html +20 -0
  111. data/ref/ruby/classes/{CounterProto.html → XX/HTML4/Transitional.html} +19 -45
  112. data/ref/ruby/classes/XX/HTML4/Transitional.src/M000015.html +20 -0
  113. data/ref/ruby/classes/{MaximumCounterValue.html → XX/HTML4.html} +20 -34
  114. data/ref/ruby/classes/XX/HTML4.src/M000014.html +20 -0
  115. data/ref/ruby/classes/XX/Markup/ClassMethods.html +236 -0
  116. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000022.html +22 -0
  117. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000023.html +20 -0
  118. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000024.html +25 -0
  119. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000025.html +20 -0
  120. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000026.html +27 -0
  121. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000027.html +20 -0
  122. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000028.html +27 -0
  123. data/ref/ruby/classes/XX/Markup/ClassMethods.src/M000029.html +22 -0
  124. data/ref/ruby/{files/bin/generate_test_tpl/proto_rb.html → classes/XX/Markup/Error.html} +25 -21
  125. data/ref/ruby/classes/XX/Markup/InstanceMethods.html +474 -0
  126. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000030.html +56 -0
  127. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000031.html +33 -0
  128. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000032.html +31 -0
  129. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000033.html +48 -0
  130. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000034.html +20 -0
  131. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000035.html +31 -0
  132. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000036.html +32 -0
  133. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000037.html +32 -0
  134. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000038.html +28 -0
  135. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000039.html +23 -0
  136. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000040.html +26 -0
  137. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000041.html +20 -0
  138. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000042.html +20 -0
  139. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000043.html +20 -0
  140. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000044.html +20 -0
  141. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000045.html +20 -0
  142. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000046.html +21 -0
  143. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000047.html +20 -0
  144. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000048.html +20 -0
  145. data/ref/ruby/classes/XX/Markup/InstanceMethods.src/M000049.html +20 -0
  146. data/ref/ruby/classes/{TestHw5UnitModel.html → XX/Markup.html} +29 -49
  147. data/ref/ruby/classes/XX/Markup.src/M000021.html +28 -0
  148. data/ref/ruby/{files/samp/counter/counter_rspecTest_spec_rb.html → classes/XX/XHTML/Strict.html} +46 -50
  149. data/ref/ruby/classes/XX/XHTML/Strict.src/M000020.html +20 -0
  150. data/ref/ruby/classes/XX/XHTML/Transitional.html +138 -0
  151. data/ref/ruby/classes/XX/XHTML/Transitional.src/M000019.html +20 -0
  152. data/ref/ruby/classes/XX/XHTML.html +145 -0
  153. data/ref/ruby/classes/XX/XHTML.src/M000018.html +36 -0
  154. data/ref/ruby/classes/XX/XML.html +138 -0
  155. data/ref/ruby/classes/XX/XML.src/M000013.html +20 -0
  156. data/ref/ruby/classes/XX/XMLish.html +138 -0
  157. data/ref/ruby/classes/XX/XMLish.src/M000017.html +18 -0
  158. data/ref/ruby/{files/samp/counter/counter_unitTest_spec_rb.html → classes/XX.html} +39 -36
  159. data/ref/ruby/created.rid +1 -1
  160. data/ref/ruby/files/bin/generate_test_rb.html +6 -6
  161. data/ref/ruby/files/bin/generate_test_rb.src/{M000002.html → M000001.html} +0 -0
  162. data/ref/ruby/files/bin/header_to_ruby_rb.html +1 -1
  163. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  164. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  165. data/ref/ruby/files/{samp/pipelined_alu/hw5_unit_bench_rb.html → lib/ruby-vpi/rcov_rb.html} +8 -5
  166. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  167. data/ref/ruby/files/lib/ruby-vpi/rspec_rb.html +1 -1
  168. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +1 -1
  169. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +6 -6
  170. data/ref/ruby/files/lib/ruby-vpi/runner_rb.src/{M000001.html → M000002.html} +0 -0
  171. data/ref/ruby/files/lib/ruby-vpi/vpi_util_rb.html +1 -1
  172. data/ref/ruby/files/lib/ruby-vpi/xx_rb.html +148 -0
  173. data/ref/ruby/files/lib/ruby-vpi_rb.html +2 -1
  174. data/ref/ruby/fr_class_index.html +15 -10
  175. data/ref/ruby/fr_file_index.html +2 -20
  176. data/ref/ruby/fr_method_index.html +69 -51
  177. data/ref/ruby/index.html +1 -1
  178. data/style.css +47 -0
  179. metadata +133 -109
  180. data/ref/ruby/classes/Counter.html +0 -258
  181. data/ref/ruby/classes/Counter.src/M000038.html +0 -20
  182. data/ref/ruby/classes/Counter.src/M000039.html +0 -22
  183. data/ref/ruby/classes/Counter.src/M000040.html +0 -20
  184. data/ref/ruby/classes/Counter.src/M000041.html +0 -22
  185. data/ref/ruby/classes/CounterProto.src/M000005.html +0 -22
  186. data/ref/ruby/classes/CounterProto.src/M000006.html +0 -22
  187. data/ref/ruby/classes/Hw5UnitModel/Operation.html +0 -216
  188. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000012.html +0 -25
  189. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000013.html +0 -33
  190. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000014.html +0 -18
  191. data/ref/ruby/classes/Hw5UnitModel.html +0 -256
  192. data/ref/ruby/classes/Hw5UnitModel.src/M000007.html +0 -24
  193. data/ref/ruby/classes/Hw5UnitModel.src/M000009.html +0 -20
  194. data/ref/ruby/classes/Hw5UnitModel.src/M000010.html +0 -38
  195. data/ref/ruby/classes/Hw5UnitModel.src/M000011.html +0 -22
  196. data/ref/ruby/classes/Hw5_unit.html +0 -196
  197. data/ref/ruby/classes/Hw5_unit.src/M000004.html +0 -27
  198. data/ref/ruby/classes/Hw5_unit_spec.html +0 -237
  199. data/ref/ruby/classes/Hw5_unit_spec.src/M000024.html +0 -21
  200. data/ref/ruby/classes/Hw5_unit_spec.src/M000025.html +0 -21
  201. data/ref/ruby/classes/Hw5_unit_spec.src/M000026.html +0 -67
  202. data/ref/ruby/classes/InputGenerator.html +0 -260
  203. data/ref/ruby/classes/InputGenerator.src/M000028.html +0 -18
  204. data/ref/ruby/classes/InputGenerator.src/M000030.html +0 -19
  205. data/ref/ruby/classes/InputGenerator.src/M000031.html +0 -38
  206. data/ref/ruby/classes/InputGenerator.src/M000032.html +0 -19
  207. data/ref/ruby/classes/InputGenerator.src/M000033.html +0 -19
  208. data/ref/ruby/classes/MaximumCounterValue.src/M000036.html +0 -23
  209. data/ref/ruby/classes/MaximumCounterValue.src/M000037.html +0 -21
  210. data/ref/ruby/classes/ResettedCounterValue.src/M000015.html +0 -19
  211. data/ref/ruby/classes/ResettedCounterValue.src/M000016.html +0 -18
  212. data/ref/ruby/classes/ResettedCounterValue.src/M000017.html +0 -23
  213. data/ref/ruby/classes/RubyVPI.src/M000050.html +0 -39
  214. data/ref/ruby/classes/TestHw5UnitModel.src/M000021.html +0 -19
  215. data/ref/ruby/classes/TestHw5UnitModel.src/M000022.html +0 -19
  216. data/ref/ruby/classes/TestHw5UnitModel.src/M000023.html +0 -64
  217. data/ref/ruby/files/bin/generate_test_tpl/bench_rb.html +0 -115
  218. data/ref/ruby/files/bin/generate_test_tpl/design_rb.html +0 -107
  219. data/ref/ruby/files/bin/generate_test_tpl/spec_rb.src/M000003.html +0 -22
  220. data/ref/ruby/files/ext/extconf_rb.html +0 -108
  221. data/ref/ruby/files/gem_extconf_rb.html +0 -114
  222. data/ref/ruby/files/samp/counter/counter_rspecTest_bench_rb.html +0 -115
  223. data/ref/ruby/files/samp/counter/counter_rspecTest_design_rb.html +0 -107
  224. data/ref/ruby/files/samp/counter/counter_rspecTest_proto_rb.html +0 -107
  225. data/ref/ruby/files/samp/counter/counter_unitTest_bench_rb.html +0 -115
  226. data/ref/ruby/files/samp/counter/counter_unitTest_design_rb.html +0 -107
  227. data/ref/ruby/files/samp/counter/counter_unitTest_proto_rb.html +0 -107
  228. data/ref/ruby/files/samp/pipelined_alu/Hw5UnitModel_rb.html +0 -101
  229. data/ref/ruby/files/samp/pipelined_alu/InputGenerator_rb.html +0 -101
  230. data/ref/ruby/files/samp/pipelined_alu/TestHw5UnitModel_rb.html +0 -111
  231. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_design_rb.html +0 -107
  232. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_spec_rb.html +0 -112
data/lib/ruby-vpi.rb CHANGED
@@ -21,10 +21,21 @@
21
21
  # Provides configuration information of the Ruby-VPI project.
22
22
  module RubyVPI
23
23
  # Initializes the current bench using the given parameters.
24
- def self.init_bench aTestPrefix, aProtoClassId
24
+ def RubyVPI.init_bench aTestPrefix, aProtoClassId
25
+ require 'ruby-vpi/vpi_util'
25
26
  Vpi::relay_verilog # service the $ruby_init() callback
26
27
 
27
- require 'ruby-vpi/vpi_util'
28
+ # set up code coverage analysis
29
+ if ENV['COVERAGE']
30
+ require 'ruby-vpi/rcov'
31
+
32
+ RubyVPI.with_coverage_analysis do |a|
33
+ a.dump_coverage_info [
34
+ Rcov::TextReport.new,
35
+ Rcov::HTMLCoverage.new(:destdir => "#{aTestPrefix}_coverage")
36
+ ]
37
+ end
38
+ end
28
39
 
29
40
  # load the design under test
30
41
  require "#{aTestPrefix}_design.rb"
data/memo.html CHANGED
@@ -1,4 +1,119 @@
1
- <h1>Notes</h1>
1
+ <head>
2
+ <link rel="stylesheet" type="text/css" href="style.css" />
3
+ </head>
4
+
5
+ <h1 style="text-align: center;">Welcome to Ruby-VPI</h1>
6
+
7
+
8
+ <p>Ruby-VPI is a <a href="http://ruby-lang.org">Ruby interface</a> to <a href="http://ieeexplore.ieee.org/xpl/standardstoc.jsp?isnumber=33945">Verilog <span class="caps">VPI</span></a>. It lets you create complex Verilog test benches easily and wholly in Ruby.</p>
9
+
10
+
11
+ <h2>Features</h2>
12
+
13
+
14
+ <ul>
15
+ <li>Apply <a href="http://www.martinfowler.com/articles/newMethodology.html">agile techniques</a> to develop hardware.
16
+ <ul>
17
+ <li>Rapidly prototype and verify your designs using <a href="http://behaviour-driven.org/" title="behavior-driven development"><span class="caps">BDD</span></a>, <a href="http://www.agiledata.org/essays/tdd.html" title="test-driven development"><span class="caps">TDD</span></a>, and more.</li>
18
+ <li>Consolidate your requirements and verification efforts through executable specifications.</li>
19
+ <li>Reuse the <em>same</em> specification to verify both prototype and design.</li>
20
+ </ul></li>
21
+ </ul>
22
+
23
+
24
+ <ul>
25
+ <li>Utilize Ruby&#8217;s power and elegance (<a href="http://www.ruby-doc.org/whyruby">Why choose Ruby?</a>).
26
+ <ul>
27
+ <li>Built-in support for unlimited length integers, regular expressions, networking, multi-threading, system calls, <em>ad infinium</em>!</li>
28
+ <li>Easy to use; doesn&#8217;t get in your way.</li>
29
+ <li>Makes programming <em>fun</em> again!</li>
30
+ </ul></li>
31
+ </ul>
32
+
33
+
34
+ <ul>
35
+ <li>Study, modify, or distribute this is free software, released under the <a href="http://www.gnu.org/copyleft/gpl.html"><span class="caps">GNU</span> General Public License</a>, as you see fit.</li>
36
+ </ul>
37
+
38
+
39
+ <div class="variablelist">
40
+ <dl>
41
+
42
+ <dt>
43
+ <span class="term">
44
+ <a href="./doc/usage.tutorial.html" target="_top">Tutorial</a>
45
+ </span>
46
+ </dt>
47
+ <dd>
48
+ <p>Learn how to use Ruby-VPI quickly.</p>
49
+ </dd>
50
+
51
+ <dt>
52
+ <span class="term">
53
+ <a href="./doc/" target="_top">Manual</a>
54
+ </span>
55
+ </dt>
56
+ <dd>
57
+ <p>Complete documentation for users. Start here!</p>
58
+ </dd>
59
+
60
+ <dt>
61
+ <span class="term">
62
+ <a href="http://rubyforge.org/projects/ruby-vpi" target="_top">
63
+ Project</a>
64
+ </span>
65
+ </dt>
66
+ <dd>
67
+ <p>
68
+ Access project facilities, hosted generously by <a href="http://rubyforge.org" target="_top">
69
+ RubyForge</a>.
70
+ </p>
71
+ </dd>
72
+ <dt>
73
+ <span class="term">
74
+ <a href="http://rubyforge.org/projects/ruby-vpi" target="_top">
75
+ Tracker</a>
76
+ </span>
77
+ </dt>
78
+ <dd>
79
+ <p>
80
+ Report problems, contribute patches, and more.</p>
81
+ </dd>
82
+ <dt>
83
+ <span class="term">
84
+ <a href="http://rubyforge.org/frs/?group_id=1339" target="_top">
85
+ Releases</a>
86
+ </span>
87
+ </dt>
88
+ <dd>
89
+ <p>
90
+ Download the newest releases.</p>
91
+ </dd>
92
+ <dt>
93
+ <span class="term">
94
+ <a href="http://rubyforge.org/scm/?group_id=1339" target="_top">
95
+ Sources</a>
96
+ </span>
97
+ </dt>
98
+ <dd>
99
+ <p>
100
+ Browse or access the source code repository.</p>
101
+ </dd>
102
+ <dt>
103
+ <span class="term">
104
+ <a href="http://rubyforge.org/forum/?group_id=1339" target="_top">
105
+ Forums</a>
106
+ </span>
107
+ </dt>
108
+ <dd>
109
+ <p>
110
+ Ask for help, give feedback, or discuss.</p>
111
+ </dd>
112
+ </dl>
113
+ </div>
114
+ </div>
115
+
116
+ <h1>Notes</h1>
2
117
 
3
118
 
4
119
  <ul>
data/memo.part.html ADDED
@@ -0,0 +1,86 @@
1
+ <h1>Notes</h1>
2
+
3
+
4
+ <ul>
5
+ <li>When within Rake, rubygems is automatically available for use. no need to require() it again</li>
6
+ </ul>
7
+
8
+
9
+ <h1>Pending tasks</h1>
10
+
11
+
12
+ <ul>
13
+ <li>integrate RCov for coverage statistics</li>
14
+ </ul>
15
+
16
+
17
+ <ul>
18
+ <li>define handled methods in Vpi::method_missing for faster response
19
+ <ul>
20
+ <li>this has been verified as bottleneck from profiling data</li>
21
+ </ul></li>
22
+ </ul>
23
+
24
+
25
+ <ul>
26
+ <li>file bug report for Icarus 0.8 (l0_dcache)
27
+ <ul>
28
+ <li>try new icarus snapshot</li>
29
+ </ul></li>
30
+ </ul>
31
+
32
+
33
+ <ul>
34
+ <li><span class="caps">GHDL</span> simulator supports <span class="caps">VPI</span>
35
+ <ul>
36
+ <li>need way to invoke <span class="caps">VPI</span> tasks from <span class="caps">VHDL</span>, like <code>$ruby_init();</code></li>
37
+ </ul></li>
38
+ </ul>
39
+
40
+
41
+ <ul>
42
+ <li>smarter test generation, which adjusts to user modifications in previously generated output</li>
43
+ </ul>
44
+
45
+
46
+ <h1>Finished tasks</h1>
47
+
48
+
49
+ <ul>
50
+ <li>add support for <span class="caps">ESL</span> prototyping (like SystemC)</li>
51
+ </ul>
52
+
53
+
54
+ <ul>
55
+ <li>decouple code generation with $RUBYLIB and erb</li>
56
+ </ul>
57
+
58
+
59
+ <ul>
60
+ <li>change should_be to should_equal in examples</li>
61
+ </ul>
62
+
63
+
64
+ <ul>
65
+ <li>add support for <span class="caps">GPL</span> Cver in the test runner template</li>
66
+ </ul>
67
+
68
+
69
+ <ul>
70
+ <li>build ruby-vpi once to generate all <strong>.so files
71
+ <ul>
72
+ <li>test runner should not rebuild ruby-vpi every time</li>
73
+ </ul></li>
74
+ </ul>
75
+
76
+
77
+ <ul>
78
+ <li>distribute as a <span class="caps">GEM</span>, binary, and source
79
+ <ul>
80
+ <li>use <span class="caps">RUBYLIB</span> to bypass rubygems site installation for local testing. otherwise have to build &#38; install gem <em>every</em> time!</li>
81
+ <li>put lib/</strong> into lib/ruby-vpi/*</li>
82
+ </ul></li>
83
+ </ul>
84
+
85
+
86
+ <h1>Obsolete tasks</h1>
data/readme.html CHANGED
@@ -1,4 +1,119 @@
1
- <h1>Welcome to Ruby-VPI</h1>
1
+ <head>
2
+ <link rel="stylesheet" type="text/css" href="style.css" />
3
+ </head>
4
+
5
+ <h1 style="text-align: center;">Welcome to Ruby-VPI</h1>
6
+
7
+
8
+ <p>Ruby-VPI is a <a href="http://ruby-lang.org">Ruby interface</a> to <a href="http://ieeexplore.ieee.org/xpl/standardstoc.jsp?isnumber=33945">Verilog <span class="caps">VPI</span></a>. It lets you create complex Verilog test benches easily and wholly in Ruby.</p>
9
+
10
+
11
+ <h2>Features</h2>
12
+
13
+
14
+ <ul>
15
+ <li>Apply <a href="http://www.martinfowler.com/articles/newMethodology.html">agile techniques</a> to develop hardware.
16
+ <ul>
17
+ <li>Rapidly prototype and verify your designs using <a href="http://behaviour-driven.org/" title="behavior-driven development"><span class="caps">BDD</span></a>, <a href="http://www.agiledata.org/essays/tdd.html" title="test-driven development"><span class="caps">TDD</span></a>, and more.</li>
18
+ <li>Consolidate your requirements and verification efforts through executable specifications.</li>
19
+ <li>Reuse the <em>same</em> specification to verify both prototype and design.</li>
20
+ </ul></li>
21
+ </ul>
22
+
23
+
24
+ <ul>
25
+ <li>Utilize Ruby&#8217;s power and elegance (<a href="http://www.ruby-doc.org/whyruby">Why choose Ruby?</a>).
26
+ <ul>
27
+ <li>Built-in support for unlimited length integers, regular expressions, networking, multi-threading, system calls, <em>ad infinium</em>!</li>
28
+ <li>Easy to use; doesn&#8217;t get in your way.</li>
29
+ <li>Makes programming <em>fun</em> again!</li>
30
+ </ul></li>
31
+ </ul>
32
+
33
+
34
+ <ul>
35
+ <li>Study, modify, or distribute this is free software, released under the <a href="http://www.gnu.org/copyleft/gpl.html"><span class="caps">GNU</span> General Public License</a>, as you see fit.</li>
36
+ </ul>
37
+
38
+
39
+ <div class="variablelist">
40
+ <dl>
41
+
42
+ <dt>
43
+ <span class="term">
44
+ <a href="./doc/usage.tutorial.html" target="_top">Tutorial</a>
45
+ </span>
46
+ </dt>
47
+ <dd>
48
+ <p>Learn how to use Ruby-VPI quickly.</p>
49
+ </dd>
50
+
51
+ <dt>
52
+ <span class="term">
53
+ <a href="./doc/" target="_top">Manual</a>
54
+ </span>
55
+ </dt>
56
+ <dd>
57
+ <p>Complete documentation for users. Start here!</p>
58
+ </dd>
59
+
60
+ <dt>
61
+ <span class="term">
62
+ <a href="http://rubyforge.org/projects/ruby-vpi" target="_top">
63
+ Project</a>
64
+ </span>
65
+ </dt>
66
+ <dd>
67
+ <p>
68
+ Access project facilities, hosted generously by <a href="http://rubyforge.org" target="_top">
69
+ RubyForge</a>.
70
+ </p>
71
+ </dd>
72
+ <dt>
73
+ <span class="term">
74
+ <a href="http://rubyforge.org/projects/ruby-vpi" target="_top">
75
+ Tracker</a>
76
+ </span>
77
+ </dt>
78
+ <dd>
79
+ <p>
80
+ Report problems, contribute patches, and more.</p>
81
+ </dd>
82
+ <dt>
83
+ <span class="term">
84
+ <a href="http://rubyforge.org/frs/?group_id=1339" target="_top">
85
+ Releases</a>
86
+ </span>
87
+ </dt>
88
+ <dd>
89
+ <p>
90
+ Download the newest releases.</p>
91
+ </dd>
92
+ <dt>
93
+ <span class="term">
94
+ <a href="http://rubyforge.org/scm/?group_id=1339" target="_top">
95
+ Sources</a>
96
+ </span>
97
+ </dt>
98
+ <dd>
99
+ <p>
100
+ Browse or access the source code repository.</p>
101
+ </dd>
102
+ <dt>
103
+ <span class="term">
104
+ <a href="http://rubyforge.org/forum/?group_id=1339" target="_top">
105
+ Forums</a>
106
+ </span>
107
+ </dt>
108
+ <dd>
109
+ <p>
110
+ Ask for help, give feedback, or discuss.</p>
111
+ </dd>
112
+ </dl>
113
+ </div>
114
+ </div>
115
+
116
+ <h1>Getting started</h1>
2
117
 
3
118
 
4
119
  <ul>
data/readme.part.html ADDED
@@ -0,0 +1,21 @@
1
+ <h1>Getting started</h1>
2
+
3
+
4
+ <ul>
5
+ <li>See the <a href="./doc/xhtml">user manual</a> to begin using Ruby-VPI.</li>
6
+ </ul>
7
+
8
+
9
+ <ul>
10
+ <li>See the <a href="./history.html">release history</a> to see what is new in this release.</li>
11
+ </ul>
12
+
13
+
14
+ <ul>
15
+ <li>See <span class="caps">API</span> documentation for the <a href="./ref/c">C extension</a> or <a href="./ref/ruby">Ruby-VPI libraries</a>.</li>
16
+ </ul>
17
+
18
+
19
+ <ul>
20
+ <li>Visit the <a href="http://ruby-vpi.rubyforge.org">project website</a> for additional resources.</li>
21
+ </ul>
data/ref/c/annotated.html CHANGED
@@ -29,7 +29,7 @@
29
29
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vecval.html">t_vpi_vecval</a></td><td class="indexvalue"></td></tr>
30
30
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vlog__info.html">t_vpi_vlog_info</a></td><td class="indexvalue"></td></tr>
31
31
  </table>
32
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
32
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
33
33
  <a href="http://www.doxygen.org/index.html">
34
34
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
35
35
  </body>
data/ref/c/common_8h.html CHANGED
@@ -171,7 +171,7 @@ Pass aroung this value instead of zero and non-zero integers. <dl compact><dt><b
171
171
  </td>
172
172
  </tr>
173
173
  </table>
174
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
174
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:41 2006 for Ruby-VPI by&nbsp;
175
175
  <a href="http://www.doxygen.org/index.html">
176
176
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
177
177
  </body>
data/ref/c/files.html CHANGED
@@ -28,7 +28,7 @@
28
28
  <tr><td class="indexkey"><a class="el" href="vlog_8hin.html">vlog.hin</a></td><td class="indexvalue">Interface between C and Verilog code </td></tr>
29
29
  <tr><td class="indexkey"><a class="el" href="vpi__user_8h.html">vpi_user.h</a></td><td class="indexvalue"></td></tr>
30
30
  </table>
31
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
31
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:41 2006 for Ruby-VPI by&nbsp;
32
32
  <a href="http://www.doxygen.org/index.html">
33
33
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
34
34
  </body>
data/ref/c/functions.html CHANGED
@@ -128,7 +128,7 @@ Here is a list of all struct and union fields with links to the structures/union
128
128
  : <a class="el" href="structt__cb__data.html#5039bb34ac75410ff93cbe44515b3543">t_cb_data</a>, <a class="el" href="structt__vpi__value.html#73c4197b95dc5a8f4e8f0754e776d796">t_vpi_value</a><li>vector
129
129
  : <a class="el" href="structt__vpi__value.html#b363de5e581f84f9da4610dade8136df">t_vpi_value</a><li>version
130
130
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a></ul>
131
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
131
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
132
132
  <a href="http://www.doxygen.org/index.html">
133
133
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
134
134
  </body>
@@ -128,7 +128,7 @@
128
128
  : <a class="el" href="structt__cb__data.html#5039bb34ac75410ff93cbe44515b3543">t_cb_data</a>, <a class="el" href="structt__vpi__value.html#73c4197b95dc5a8f4e8f0754e776d796">t_vpi_value</a><li>vector
129
129
  : <a class="el" href="structt__vpi__value.html#b363de5e581f84f9da4610dade8136df">t_vpi_value</a><li>version
130
130
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a></ul>
131
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
131
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
132
132
  <a href="http://www.doxygen.org/index.html">
133
133
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
134
134
  </body>
data/ref/c/globals.html CHANGED
@@ -48,7 +48,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
48
48
  <h3><a class="anchor" name="index_b">- b -</a></h3><ul>
49
49
  <li>bool
50
50
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a></ul>
51
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
51
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
52
52
  <a href="http://www.doxygen.org/index.html">
53
53
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
54
54
  </body>
@@ -79,7 +79,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
79
79
  : <a class="el" href="common_8h.html#f30d3fc89fbb3ed2aab2f5904ab76815">common.h</a><li>common_debug
80
80
  : <a class="el" href="common_8h.html#fcdad79cb06a5c61737b2f434218dc95">common.h</a><li>common_printf
81
81
  : <a class="el" href="common_8h.html#88235e5f8aab82571d68045e9f73fe81">common.h</a></ul>
82
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
82
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
83
83
  <a href="http://www.doxygen.org/index.html">
84
84
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
85
85
  </body>
@@ -48,7 +48,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
48
48
  <h3><a class="anchor" name="index_e">- e -</a></h3><ul>
49
49
  <li>EETERN
50
50
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a></ul>
51
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
51
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
52
52
  <a href="http://www.doxygen.org/index.html">
53
53
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
54
54
  </body>
@@ -48,7 +48,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
48
48
  <h3><a class="anchor" name="index_f">- f -</a></h3><ul>
49
49
  <li>false
50
50
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1e9de385ef6fe9bf3360d1038396b884c">common.h</a></ul>
51
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
51
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
52
52
  <a href="http://www.doxygen.org/index.html">
53
53
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
54
54
  </body>
@@ -64,7 +64,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
64
64
  : <a class="el" href="vpi__user_8h.html#b4fa10713b8dd2588754f7172f9be4e0">vpi_user.h</a><li>PLI_VEXTERN
65
65
  : <a class="el" href="vpi__user_8h.html#ad181db3eb3faa5de4d589896dbcb662">vpi_user.h</a><li>PROTO_PARAMS
66
66
  : <a class="el" href="vpi__user_8h.html#240fc866a11eedf2a49b6b5afd5d2972">vpi_user.h</a></ul>
67
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
67
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
68
68
  <a href="http://www.doxygen.org/index.html">
69
69
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
70
70
  </body>
@@ -55,7 +55,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
55
55
  : <a class="el" href="relay_8hin.html#84bc77ed3fd41c02a85a338390abdc7a">relay.hin</a>, <a class="el" href="relay_8cin.html#84bc77ed3fd41c02a85a338390abdc7a">relay.cin</a><li>relay_verilog()
56
56
  : <a class="el" href="relay_8hin.html#f7fcb35f079ab7f3f568adf6f7bb9c2f">relay.hin</a>, <a class="el" href="relay_8cin.html#f7fcb35f079ab7f3f568adf6f7bb9c2f">relay.cin</a><li>ruby_run_handshake()
57
57
  : <a class="el" href="relay_8cin.html#c9322be286809df2b947ef11da69e2fd">relay.cin</a></ul>
58
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
58
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
59
59
  <a href="http://www.doxygen.org/index.html">
60
60
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
61
61
  </body>
@@ -58,7 +58,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
58
58
  : <a class="el" href="vpi__user_8h.html#4d989aa964a0d69d3e1da525725573c8">vpi_user.h</a><li>swig_init()
59
59
  : <a class="el" href="swig_8hin.html#e5061a375e8d703baa6bdf192c05657d">swig.hin</a>, <a class="el" href="swig_8cin.html#e5061a375e8d703baa6bdf192c05657d">swig.cin</a><li>swig_rb_relay_verilog()
60
60
  : <a class="el" href="swig_8hin.html#fe5631f5494b1db3eca5cb7f4c91f4cc">swig.hin</a>, <a class="el" href="swig_8cin.html#fe5631f5494b1db3eca5cb7f4c91f4cc">swig.cin</a></ul>
61
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
61
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
62
62
  <a href="http://www.doxygen.org/index.html">
63
63
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
64
64
  </body>
@@ -48,7 +48,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
48
48
  <h3><a class="anchor" name="index_t">- t -</a></h3><ul>
49
49
  <li>true
50
50
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a></ul>
51
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
51
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
52
52
  <a href="http://www.doxygen.org/index.html">
53
53
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
54
54
  </body>
@@ -465,7 +465,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
465
465
  : <a class="el" href="vpi__user_8h.html#0a97a6fa4c5f82c05fc5909aded783e2">vpi_user.h</a><li>vpiXorPrim
466
466
  : <a class="el" href="vpi__user_8h.html#803026dd4484367c4b3cb871d28249df">vpi_user.h</a><li>vpiZ
467
467
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a></ul>
468
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
468
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
469
469
  <a href="http://www.doxygen.org/index.html">
470
470
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
471
471
  </body>
@@ -48,7 +48,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
48
48
  <h3><a class="anchor" name="index_x">- x -</a></h3><ul>
49
49
  <li>XXTERN
50
50
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a></ul>
51
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
51
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
52
52
  <a href="http://www.doxygen.org/index.html">
53
53
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
54
54
  </body>
@@ -74,7 +74,7 @@
74
74
  : <a class="el" href="common_8h.html#f30d3fc89fbb3ed2aab2f5904ab76815">common.h</a><li>common_debug
75
75
  : <a class="el" href="common_8h.html#fcdad79cb06a5c61737b2f434218dc95">common.h</a><li>common_printf
76
76
  : <a class="el" href="common_8h.html#88235e5f8aab82571d68045e9f73fe81">common.h</a></ul>
77
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
77
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
78
78
  <a href="http://www.doxygen.org/index.html">
79
79
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
80
80
  </body>
@@ -43,7 +43,7 @@
43
43
  <h3><a class="anchor" name="index_e">- e -</a></h3><ul>
44
44
  <li>EETERN
45
45
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a></ul>
46
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
46
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
47
47
  <a href="http://www.doxygen.org/index.html">
48
48
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
49
49
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>PLI_VEXTERN
45
45
  : <a class="el" href="vpi__user_8h.html#ad181db3eb3faa5de4d589896dbcb662">vpi_user.h</a><li>PROTO_PARAMS
46
46
  : <a class="el" href="vpi__user_8h.html#240fc866a11eedf2a49b6b5afd5d2972">vpi_user.h</a></ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
50
50
  </body>
@@ -456,7 +456,7 @@
456
456
  : <a class="el" href="vpi__user_8h.html#0a97a6fa4c5f82c05fc5909aded783e2">vpi_user.h</a><li>vpiXorPrim
457
457
  : <a class="el" href="vpi__user_8h.html#803026dd4484367c4b3cb871d28249df">vpi_user.h</a><li>vpiZ
458
458
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a></ul>
459
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
459
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
460
460
  <a href="http://www.doxygen.org/index.html">
461
461
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
462
462
  </body>
@@ -43,7 +43,7 @@
43
43
  <h3><a class="anchor" name="index_x">- x -</a></h3><ul>
44
44
  <li>XXTERN
45
45
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a></ul>
46
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
46
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
47
47
  <a href="http://www.doxygen.org/index.html">
48
48
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
49
49
  </body>
@@ -32,7 +32,7 @@
32
32
  <ul>
33
33
  <li>bool
34
34
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a></ul>
35
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
35
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
36
36
  <a href="http://www.doxygen.org/index.html">
37
37
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
38
38
  </body>
@@ -33,7 +33,7 @@
33
33
  <li>false
34
34
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1e9de385ef6fe9bf3360d1038396b884c">common.h</a><li>true
35
35
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a></ul>
36
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
36
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
37
37
  <a href="http://www.doxygen.org/index.html">
38
38
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
39
39
  </body>
@@ -42,7 +42,7 @@
42
42
  : <a class="el" href="vlog_8hin.html#1b39935aef93f96d0dfb959639b00754">vlog.hin</a>, <a class="el" href="vlog_8cin.html#1b39935aef93f96d0dfb959639b00754">vlog.cin</a>, <a class="el" href="verilog_8h.html#d3ce96438bf5e9101337a49bac4507f7">verilog.h</a><li>vlog_bind_task()
43
43
  : <a class="el" href="vlog_8hin.html#06d32ed980708ad82d26bce8666a873a">vlog.hin</a>, <a class="el" href="vlog_8cin.html#06d32ed980708ad82d26bce8666a873a">vlog.cin</a><li>vlog_startup()
44
44
  : <a class="el" href="vlog_8cin.html#b8906b2460b83e436cd00fd26cbe8b2d">vlog.cin</a></ul>
45
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
45
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
46
46
  <a href="http://www.doxygen.org/index.html">
47
47
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
48
48
  </body>
@@ -56,7 +56,7 @@
56
56
  : <a class="el" href="vpi__user_8h.html#31ae651757effcffa547a034cfb5ea6b">vpi_user.h</a><li>s_vpi_vlog_info
57
57
  : <a class="el" href="vpi__user_8h.html#4d989aa964a0d69d3e1da525725573c8">vpi_user.h</a><li>vpiHandle
58
58
  : <a class="el" href="vpi__user_8h.html#7f57325d105c33e9bbac40b5ddd04d05">vpi_user.h</a></ul>
59
- <hr size="1"><address style="align: right;"><small>Generated on Tue Aug 29 22:50:47 2006 for Ruby-VPI by&nbsp;
59
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Sep 15 00:31:42 2006 for Ruby-VPI by&nbsp;
60
60
  <a href="http://www.doxygen.org/index.html">
61
61
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.6 </small></address>
62
62
  </body>