ruby-vpi 7.0.0 → 7.1.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (179) hide show
  1. data/HISTORY +19 -0
  2. data/README +3 -3
  3. data/Rakefile +147 -112
  4. data/bin/generate_test.rb +0 -2
  5. data/doc/src/manual.xml +11 -9
  6. data/doc/txt/manual.txt +14 -9
  7. data/doc/xhtml/background.organization.html +1 -1
  8. data/doc/xhtml/index.html +2 -2
  9. data/doc/xhtml/introduction.manifest.html +1 -1
  10. data/doc/xhtml/usage.installation.html +7 -1
  11. data/doc/xhtml/usage.tutorial.html +1 -1
  12. data/gem_extconf.rb +1 -1
  13. data/history.html +37 -0
  14. data/lib/ruby-vpi/runner.rb +77 -2
  15. data/lib/ruby-vpi.rb +0 -10
  16. data/readme.html +5 -3
  17. data/ref/c/annotated.html +1 -1
  18. data/ref/c/common_8h.html +1 -1
  19. data/ref/c/files.html +1 -1
  20. data/ref/c/functions.html +1 -1
  21. data/ref/c/functions_vars.html +1 -1
  22. data/ref/c/globals.html +1 -1
  23. data/ref/c/globals_0x63.html +1 -1
  24. data/ref/c/globals_0x65.html +1 -1
  25. data/ref/c/globals_0x66.html +1 -1
  26. data/ref/c/globals_0x70.html +1 -1
  27. data/ref/c/globals_0x72.html +1 -1
  28. data/ref/c/globals_0x73.html +1 -1
  29. data/ref/c/globals_0x74.html +1 -1
  30. data/ref/c/globals_0x76.html +1 -1
  31. data/ref/c/globals_0x78.html +1 -1
  32. data/ref/c/globals_defs.html +1 -1
  33. data/ref/c/globals_defs_0x65.html +1 -1
  34. data/ref/c/globals_defs_0x70.html +1 -1
  35. data/ref/c/globals_defs_0x76.html +1 -1
  36. data/ref/c/globals_defs_0x78.html +1 -1
  37. data/ref/c/globals_enum.html +1 -1
  38. data/ref/c/globals_eval.html +1 -1
  39. data/ref/c/globals_func.html +1 -1
  40. data/ref/c/globals_type.html +1 -1
  41. data/ref/c/globals_vars.html +1 -1
  42. data/ref/c/hierarchy.html +1 -1
  43. data/ref/c/index.html +1 -1
  44. data/ref/c/relay_8cin.html +1 -1
  45. data/ref/c/relay_8hin.html +1 -1
  46. data/ref/c/ruby-vpi_8c.html +1 -1
  47. data/ref/c/structrelay____RubyOptions____def.html +1 -1
  48. data/ref/c/structt__cb__data.html +1 -1
  49. data/ref/c/structt__vpi__delay.html +1 -1
  50. data/ref/c/structt__vpi__error__info.html +1 -1
  51. data/ref/c/structt__vpi__strengthval.html +1 -1
  52. data/ref/c/structt__vpi__systf__data.html +1 -1
  53. data/ref/c/structt__vpi__time.html +1 -1
  54. data/ref/c/structt__vpi__value.html +1 -1
  55. data/ref/c/structt__vpi__vecval.html +1 -1
  56. data/ref/c/structt__vpi__vlog__info.html +1 -1
  57. data/ref/c/swig_8cin.html +1 -1
  58. data/ref/c/swig_8hin.html +1 -1
  59. data/ref/c/verilog_8h.html +1 -1
  60. data/ref/c/vlog_8cin.html +1 -1
  61. data/ref/c/vlog_8hin.html +1 -1
  62. data/ref/c/vpi__user_8h.html +1 -1
  63. data/ref/ruby/classes/Counter.html +20 -20
  64. data/ref/ruby/classes/Counter.src/M000038.html +7 -9
  65. data/ref/ruby/classes/Counter.src/M000039.html +9 -7
  66. data/ref/ruby/classes/Counter.src/M000040.html +7 -9
  67. data/ref/ruby/classes/Counter.src/M000041.html +22 -0
  68. data/ref/ruby/classes/CounterProto.html +9 -9
  69. data/ref/ruby/classes/CounterProto.src/M000005.html +1 -1
  70. data/ref/ruby/classes/CounterProto.src/{M000004.html → M000006.html} +1 -1
  71. data/ref/ruby/classes/ERB.html +5 -5
  72. data/ref/ruby/classes/FileUtils.html +10 -10
  73. data/ref/ruby/classes/FileUtils.src/M000048.html +5 -5
  74. data/ref/ruby/classes/{InputGenerator.src/M000027.html → FileUtils.src/M000049.html} +4 -4
  75. data/ref/ruby/classes/Hw5UnitModel/Operation.html +15 -15
  76. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000012.html +12 -20
  77. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000013.html +20 -5
  78. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000014.html +18 -0
  79. data/ref/ruby/classes/Hw5UnitModel.html +24 -24
  80. data/ref/ruby/classes/Hw5UnitModel.src/M000009.html +7 -25
  81. data/ref/ruby/classes/Hw5UnitModel.src/M000010.html +25 -9
  82. data/ref/ruby/classes/Hw5UnitModel.src/M000011.html +22 -0
  83. data/ref/ruby/classes/Hw5_unit.html +5 -5
  84. data/ref/ruby/classes/Hw5_unit_spec.html +15 -15
  85. data/ref/ruby/classes/Hw5_unit_spec.src/M000024.html +8 -8
  86. data/ref/ruby/classes/Hw5_unit_spec.src/M000025.html +8 -54
  87. data/ref/ruby/classes/Hw5_unit_spec.src/M000026.html +67 -0
  88. data/ref/ruby/classes/InputGenerator.html +30 -30
  89. data/ref/ruby/classes/InputGenerator.src/M000028.html +5 -5
  90. data/ref/ruby/classes/InputGenerator.src/M000029.html +5 -6
  91. data/ref/ruby/classes/InputGenerator.src/M000030.html +6 -25
  92. data/ref/ruby/classes/InputGenerator.src/M000031.html +25 -6
  93. data/ref/ruby/classes/InputGenerator.src/M000032.html +6 -6
  94. data/ref/ruby/classes/InputGenerator.src/M000033.html +19 -0
  95. data/ref/ruby/classes/MaximumCounterValue.html +10 -10
  96. data/ref/ruby/classes/MaximumCounterValue.src/M000036.html +10 -8
  97. data/ref/ruby/classes/MaximumCounterValue.src/M000037.html +21 -0
  98. data/ref/ruby/classes/ModuleInfo.html +10 -10
  99. data/ref/ruby/classes/ModuleInfo.src/M000019.html +31 -13
  100. data/ref/ruby/classes/ModuleInfo.src/M000020.html +26 -0
  101. data/ref/ruby/classes/OutputInfo.html +5 -10
  102. data/ref/ruby/classes/OutputInfo.src/{M000017.html → M000018.html} +36 -36
  103. data/ref/ruby/classes/ResettedCounterValue.html +15 -15
  104. data/ref/ruby/classes/ResettedCounterValue.src/M000015.html +6 -5
  105. data/ref/ruby/classes/ResettedCounterValue.src/M000016.html +5 -10
  106. data/ref/ruby/classes/ResettedCounterValue.src/M000017.html +23 -0
  107. data/ref/ruby/classes/RubyVPI.html +0 -46
  108. data/ref/ruby/classes/RubyVPI.src/M000050.html +24 -24
  109. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.html +35 -35
  110. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000042.html +9 -31
  111. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000043.html +31 -69
  112. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000044.html +69 -114
  113. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000045.html +114 -13
  114. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000046.html +13 -5
  115. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000047.html +18 -0
  116. data/ref/ruby/classes/String.html +5 -5
  117. data/ref/ruby/classes/Template.html +5 -5
  118. data/ref/ruby/classes/TestHw5UnitModel.html +15 -15
  119. data/ref/ruby/classes/TestHw5UnitModel.src/M000021.html +6 -6
  120. data/ref/ruby/classes/TestHw5UnitModel.src/M000022.html +6 -51
  121. data/ref/ruby/classes/TestHw5UnitModel.src/M000023.html +64 -0
  122. data/ref/ruby/created.rid +1 -1
  123. data/ref/ruby/files/bin/generate_test_rb.html +6 -6
  124. data/ref/ruby/files/bin/generate_test_tpl/bench_rb.html +1 -1
  125. data/ref/ruby/files/bin/generate_test_tpl/design_rb.html +1 -1
  126. data/ref/ruby/files/bin/generate_test_tpl/proto_rb.html +1 -1
  127. data/ref/ruby/files/bin/generate_test_tpl/spec_rb.html +6 -6
  128. data/ref/ruby/files/bin/header_to_ruby_rb.html +1 -1
  129. data/ref/ruby/files/ext/extconf_rb.html +1 -1
  130. data/ref/ruby/files/gem_extconf_rb.html +1 -1
  131. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  132. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  133. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  134. data/ref/ruby/files/lib/ruby-vpi/rspec_rb.html +1 -1
  135. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +108 -0
  136. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +78 -2
  137. data/ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000001.html +20 -0
  138. data/ref/ruby/files/lib/ruby-vpi/vpi_util_rb.html +1 -1
  139. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  140. data/ref/ruby/files/samp/counter/counter_rspecTest_bench_rb.html +1 -1
  141. data/ref/ruby/files/samp/counter/counter_rspecTest_design_rb.html +1 -1
  142. data/ref/ruby/files/samp/counter/counter_rspecTest_proto_rb.html +1 -1
  143. data/ref/ruby/files/samp/counter/counter_rspecTest_spec_rb.html +1 -1
  144. data/ref/ruby/files/samp/counter/counter_unitTest_bench_rb.html +1 -1
  145. data/ref/ruby/files/samp/counter/counter_unitTest_design_rb.html +1 -1
  146. data/ref/ruby/files/samp/counter/counter_unitTest_proto_rb.html +1 -1
  147. data/ref/ruby/files/samp/counter/counter_unitTest_spec_rb.html +1 -1
  148. data/ref/ruby/files/samp/pipelined_alu/Hw5UnitModel_rb.html +1 -1
  149. data/ref/ruby/files/samp/pipelined_alu/InputGenerator_rb.html +1 -1
  150. data/ref/ruby/files/samp/pipelined_alu/TestHw5UnitModel_rb.html +1 -1
  151. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_bench_rb.html +1 -1
  152. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_design_rb.html +1 -1
  153. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_spec_rb.html +1 -1
  154. data/ref/ruby/fr_file_index.html +1 -0
  155. data/ref/ruby/fr_method_index.html +48 -48
  156. data/samp/counter/Rakefile +1 -1
  157. data/samp/pipelined_alu/Rakefile +1 -1
  158. metadata +41 -41
  159. data/ref/ruby/classes/Counter.src/M000037.html +0 -20
  160. data/ref/ruby/classes/FileUtils.src/M000047.html +0 -18
  161. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000011.html +0 -25
  162. data/ref/ruby/classes/Hw5UnitModel.src/M000008.html +0 -20
  163. data/ref/ruby/classes/Hw5_unit_spec.src/M000023.html +0 -21
  164. data/ref/ruby/classes/MaximumCounterValue.src/M000035.html +0 -23
  165. data/ref/ruby/classes/ModuleInfo.src/M000018.html +0 -44
  166. data/ref/ruby/classes/ResettedCounterValue.src/M000014.html +0 -19
  167. data/ref/ruby/classes/RubyVPI.src/M000049.html +0 -18
  168. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000041.html +0 -22
  169. data/ref/ruby/classes/TestHw5UnitModel.src/M000020.html +0 -19
  170. data/tpl/runner.rake +0 -96
  171. /data/{tpl/launcher.rake → lib/ruby-vpi/runner_proxy.rb} +0 -0
  172. /data/{tpl → lib/ruby-vpi}/synopsys_vcs.tab +0 -0
  173. /data/ref/ruby/classes/ERB.src/{M000034.html → M000035.html} +0 -0
  174. /data/ref/ruby/classes/Hw5UnitModel.src/{M000006.html → M000007.html} +0 -0
  175. /data/ref/ruby/classes/Hw5_unit.src/{M000003.html → M000004.html} +0 -0
  176. /data/ref/ruby/classes/String.src/{M000033.html → M000034.html} +0 -0
  177. /data/ref/ruby/classes/Template.src/{M000026.html → M000027.html} +0 -0
  178. /data/ref/ruby/files/bin/generate_test_rb.src/{M000001.html → M000002.html} +0 -0
  179. /data/ref/ruby/files/bin/generate_test_tpl/spec_rb.src/{M000002.html → M000003.html} +0 -0
data/tpl/runner.rake DELETED
@@ -1,96 +0,0 @@
1
- # A template to simplify building and running examples. This file is meant to be embedded in another Rakefile, which bears the responsibility of defining the following variables.
2
- #
3
- # = Required variables
4
- # SIMULATOR_SOURCES:: Array of paths to source files needed by the simulator.
5
- # SIMULATOR_TARGET:: Name of the Verilog module to be simulated.
6
- # SIMULATOR_ARGS:: A hash containing keys for each simulator task (same as Rakefile task names) and values containing command-line arguments for each simulator.
7
- #
8
- # = Usage
9
- # When using one simulator after another, ensure that Ruby-VPI is properly compiled for the new simulator by invoking the _clobber_ cleaning task.
10
-
11
- =begin
12
- Copyright 2006 Suraj N. Kurapati
13
-
14
- This file is part of Ruby-VPI.
15
-
16
- Ruby-VPI is free software; you can redistribute it and/or
17
- modify it under the terms of the GNU General Public License
18
- as published by the Free Software Foundation; either version 2
19
- of the License, or (at your option) any later version.
20
-
21
- Ruby-VPI is distributed in the hope that it will be useful,
22
- but WITHOUT ANY WARRANTY; without even the implied warranty of
23
- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
24
- GNU General Public License for more details.
25
-
26
- You should have received a copy of the GNU General Public License
27
- along with Ruby-VPI; if not, write to the Free Software Foundation,
28
- Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
29
- =end
30
-
31
- # check for required variables
32
- raise ArgumentError, "Required variables are undefined." unless
33
- defined?(SIMULATOR_SOURCES) &&
34
- defined?(SIMULATOR_TARGET) &&
35
- defined?(SIMULATOR_ARGS)
36
-
37
-
38
- require 'rake/clean'
39
- require 'ruby-vpi/rake'
40
-
41
- include RubyVPI
42
-
43
- # make Ruby-VPI libraries available to spec
44
- ENV['RUBYLIB'] = (ENV['RUBYLIB'] && ENV['RUBYLIB'].dup || '') << ":#{LIBRARY_PATH}"
45
-
46
-
47
- # Returns the path to the Ruby-VPI object file for the given simulator.
48
- def object_file_path aSimId, aShared = false
49
- path = File.join(OBJECT_PATH, "ruby-vpi.#{aSimId}.#{aShared ? 'so' : 'o'}")
50
- raise "Object file `#{path}' is missing.\n Please build Ruby-VPI to generate the missing file." unless File.exist? path
51
- path
52
- end
53
-
54
- task :default do
55
- Rake.application.options.show_task_pattern = //
56
- Rake.application.display_tasks_and_comments
57
- end
58
-
59
-
60
- desc "Simulate with GPL Cver."
61
- task :cver => SIMULATOR_SOURCES do |t|
62
- sh 'cver', SIMULATOR_ARGS[t.name.to_sym], "+loadvpi=#{object_file_path(t.name.to_sym, true)}:vlog_startup_routines_bootstrap", SIMULATOR_SOURCES
63
- end
64
-
65
- CLOBBER.include 'verilog.log'
66
-
67
-
68
- desc "Simulate with Icarus Verilog."
69
- task :ivl => SIMULATOR_SOURCES do |t|
70
- cp object_file_path(t.name.to_sym, true), 'ruby-vpi.vpi'
71
- sh 'iverilog', SIMULATOR_ARGS[t.name.to_sym], %w(-y. -mruby-vpi), SIMULATOR_SOURCES
72
- sh 'vvp -M. a.out'
73
- end
74
-
75
- CLEAN.include 'ruby-vpi.vpi', 'a.out'
76
-
77
-
78
- desc "Simulate with Synopsys VCS."
79
- task :vcs => collect_args(File.join(TEMPLATE_PATH, 'synopsys_vcs.tab'), SIMULATOR_SOURCES) do |t|
80
- require 'rbconfig'
81
-
82
- sh 'vcs', SIMULATOR_ARGS[t.name.to_sym], %w(-R +v2k +vpi -LDFLAGS), File.expand_path(NORMAL_OBJ_PATH), "-L#{Config::CONFIG['libdir']}", Config::CONFIG['LIBRUBYARG'], %w(-lpthread -P), t.prerequisites[1], SIMULATOR_SOURCES
83
- end
84
-
85
- CLEAN.include 'csrc', 'simv*'
86
-
87
-
88
- desc "Simulate with Mentor Modelsim."
89
- task :vsim => SIMULATOR_SOURCES do |t|
90
- sh "vlib work"
91
- sh 'vlog', SIMULATOR_ARGS[t.name.to_sym], SIMULATOR_SOURCES
92
- sh 'vsim', '-c', SIMULATOR_TARGET, '-pli', object_file_path(t.name.to_sym, true), '-do', 'run -all'
93
- end
94
-
95
- CLEAN.include 'work'
96
- CLOBBER.include 'transcript'
File without changes