ruby-vpi 17.0.0 → 18.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (126) hide show
  1. data/LICENSE +8 -6
  2. data/Rakefile +4 -4
  3. data/doc/common.css +6 -9
  4. data/doc/common.inc +3 -3
  5. data/doc/common.tpl +14 -6
  6. data/doc/history.html +152 -44
  7. data/doc/history.inc +55 -3
  8. data/doc/history.yaml +62 -2
  9. data/doc/intro.inc +20 -16
  10. data/doc/manual.doc +23 -9
  11. data/doc/manual.html +232 -200
  12. data/doc/memo.doc +7 -6
  13. data/doc/memo.html +28 -17
  14. data/doc/readme.doc +1 -1
  15. data/doc/readme.html +51 -35
  16. data/doc/rss.xml +96 -70
  17. data/ext/Rakefile +24 -11
  18. data/ext/swig_vpi.h +1 -1
  19. data/ext/swig_wrap.cin +17 -42
  20. data/lib/ruby-vpi/pli.tab +1 -0
  21. data/lib/ruby-vpi/runner.rb +34 -10
  22. data/lib/ruby-vpi/vpi.rb +483 -438
  23. data/ref/c/annotated.html +1 -1
  24. data/ref/c/common_8h.html +1 -1
  25. data/ref/c/files.html +1 -1
  26. data/ref/c/functions.html +1 -1
  27. data/ref/c/functions_vars.html +1 -1
  28. data/ref/c/globals.html +1 -1
  29. data/ref/c/globals_0x63.html +1 -1
  30. data/ref/c/globals_0x65.html +1 -1
  31. data/ref/c/globals_0x66.html +1 -1
  32. data/ref/c/globals_0x6d.html +1 -1
  33. data/ref/c/globals_0x70.html +1 -1
  34. data/ref/c/globals_0x72.html +1 -1
  35. data/ref/c/globals_0x73.html +1 -1
  36. data/ref/c/globals_0x74.html +1 -1
  37. data/ref/c/globals_0x76.html +1 -1
  38. data/ref/c/globals_0x78.html +1 -1
  39. data/ref/c/globals_defs.html +1 -1
  40. data/ref/c/globals_defs_0x65.html +1 -1
  41. data/ref/c/globals_defs_0x70.html +1 -1
  42. data/ref/c/globals_defs_0x76.html +1 -1
  43. data/ref/c/globals_defs_0x78.html +1 -1
  44. data/ref/c/globals_enum.html +1 -1
  45. data/ref/c/globals_eval.html +1 -1
  46. data/ref/c/globals_func.html +1 -1
  47. data/ref/c/globals_type.html +1 -1
  48. data/ref/c/globals_vars.html +1 -1
  49. data/ref/c/index.html +1 -1
  50. data/ref/c/main_8c.html +1 -1
  51. data/ref/c/main_8h.html +1 -1
  52. data/ref/c/relay_8c.html +1 -1
  53. data/ref/c/relay_8h.html +1 -1
  54. data/ref/c/structt__cb__data.html +1 -1
  55. data/ref/c/structt__vpi__delay.html +1 -1
  56. data/ref/c/structt__vpi__error__info.html +1 -1
  57. data/ref/c/structt__vpi__strengthval.html +1 -1
  58. data/ref/c/structt__vpi__systf__data.html +1 -1
  59. data/ref/c/structt__vpi__time.html +1 -1
  60. data/ref/c/structt__vpi__value.html +1 -1
  61. data/ref/c/structt__vpi__vecval.html +1 -1
  62. data/ref/c/structt__vpi__vlog__info.html +1 -1
  63. data/ref/c/verilog_8h.html +1 -1
  64. data/ref/c/vlog_8c.html +1 -1
  65. data/ref/c/vlog_8h.html +1 -1
  66. data/ref/c/vpi__user_8h.html +1 -1
  67. data/ref/ruby/classes/RDoc.html +5 -5
  68. data/ref/ruby/classes/RDoc.src/{M000058.html → M000061.html} +0 -0
  69. data/ref/ruby/classes/String.html +24 -24
  70. data/ref/ruby/classes/String.src/M000022.html +23 -5
  71. data/ref/ruby/classes/String.src/M000023.html +28 -5
  72. data/ref/ruby/classes/String.src/M000024.html +5 -23
  73. data/ref/ruby/classes/String.src/M000025.html +5 -28
  74. data/ref/ruby/classes/Vpi/Handle.html +94 -49
  75. data/ref/ruby/classes/Vpi/Handle.src/M000035.html +4 -4
  76. data/ref/ruby/classes/Vpi/Handle.src/M000036.html +4 -4
  77. data/ref/ruby/classes/Vpi/Handle.src/M000037.html +4 -4
  78. data/ref/ruby/classes/Vpi/Handle.src/M000038.html +4 -4
  79. data/ref/ruby/classes/Vpi/Handle.src/M000039.html +4 -4
  80. data/ref/ruby/classes/Vpi/Handle.src/M000040.html +4 -4
  81. data/ref/ruby/classes/Vpi/Handle.src/M000041.html +4 -4
  82. data/ref/ruby/classes/Vpi/Handle.src/M000042.html +4 -4
  83. data/ref/ruby/classes/Vpi/Handle.src/M000043.html +7 -7
  84. data/ref/ruby/classes/Vpi/Handle.src/M000044.html +7 -7
  85. data/ref/ruby/classes/Vpi/Handle.src/M000045.html +8 -8
  86. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +36 -30
  87. data/ref/ruby/classes/Vpi/Handle.src/M000047.html +72 -67
  88. data/ref/ruby/classes/Vpi/Handle.src/M000048.html +6 -17
  89. data/ref/ruby/classes/Vpi/Handle.src/M000049.html +19 -0
  90. data/ref/ruby/classes/Vpi/Handle.src/M000050.html +5 -5
  91. data/ref/ruby/classes/Vpi/Handle.src/M000051.html +16 -10
  92. data/ref/ruby/classes/Vpi/Handle.src/M000053.html +5 -18
  93. data/ref/ruby/classes/Vpi/Handle.src/M000054.html +11 -76
  94. data/ref/ruby/classes/Vpi/Handle.src/M000056.html +31 -0
  95. data/ref/ruby/classes/Vpi/Handle.src/M000057.html +40 -0
  96. data/ref/ruby/classes/Vpi/S_vpi_time.html +16 -16
  97. data/ref/ruby/classes/Vpi/S_vpi_time.src/{M000055.html → M000058.html} +4 -4
  98. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000059.html +19 -0
  99. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000032.html +4 -4
  100. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000033.html +4 -4
  101. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000034.html +4 -4
  102. data/ref/ruby/classes/Vpi.html +0 -7
  103. data/ref/ruby/classes/Vpi.src/M000029.html +14 -14
  104. data/ref/ruby/classes/Vpi.src/M000030.html +25 -24
  105. data/ref/ruby/classes/Vpi.src/M000031.html +6 -6
  106. data/ref/ruby/created.rid +1 -1
  107. data/ref/ruby/files/bin/convert_rb.html +1 -1
  108. data/ref/ruby/files/bin/generate_rb.html +1 -1
  109. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  110. data/ref/ruby/files/lib/ruby-vpi/float_rb.html +1 -1
  111. data/ref/ruby/files/lib/ruby-vpi/integer_rb.html +1 -1
  112. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  113. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  114. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  115. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +1 -1
  116. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +1 -1
  117. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +1 -1
  118. data/ref/ruby/files/lib/ruby-vpi/util_rb.html +1 -1
  119. data/ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html +1 -1
  120. data/ref/ruby/files/lib/ruby-vpi/vpi_rb.html +8 -1
  121. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  122. data/ref/ruby/fr_method_index.html +19 -16
  123. data/samp/register_file/register_file.v +1 -1
  124. data/samp/register_file/register_file_spec.rb +9 -5
  125. metadata +42 -38
  126. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000056.html +0 -19
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Sun Jul 08 19:24:37 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Tue Jul 31 22:34:23 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Sat Jul 07 21:35:26 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Tue Jul 10 21:07:50 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Tue Jul 31 10:50:48 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -76,6 +76,13 @@ suitable for Ruby.
76
76
 
77
77
  </div>
78
78
 
79
+ <div id="requires-list">
80
+ <h3 class="section-bar">Required files</h3>
81
+
82
+ <div class="name-list">
83
+ ruby-vpi/util&nbsp;&nbsp;
84
+ </div>
85
+ </div>
79
86
 
80
87
  </div>
81
88
 
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Sun Jul 22 17:47:11 -0700 2007</td>
59
+ <td>Sun Jul 08 13:52:22 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -20,22 +20,23 @@
20
20
  <div id="index">
21
21
  <h1 class="section-bar">Methods</h1>
22
22
  <div id="index-entries">
23
- <a href="classes/Vpi/Handle.html#M000050"><=> (Vpi::Handle)</a><br />
24
- <a href="classes/Vpi/Handle.html#M000048">[] (Vpi::Handle)</a><br />
23
+ <a href="classes/Vpi/Handle.html#M000053"><=> (Vpi::Handle)</a><br />
24
+ <a href="classes/Vpi/Handle.html#M000051">[] (Vpi::Handle)</a><br />
25
25
  <a href="classes/Vpi.html#M000030">advance_time (Vpi)</a><br />
26
- <a href="classes/Vpi/Handle.html#M000053">cbValueChange (Vpi::Handle)</a><br />
26
+ <a href="classes/Vpi/Handle.html#M000056">cbValueChange (Vpi::Handle)</a><br />
27
27
  <a href="classes/FileUtils.html#M000028">collect_args (FileUtils)</a><br />
28
28
  <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000003">const_missing (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
29
29
  <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000001">debugger (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
30
30
  <a href="classes/Integer.html#M000019">extend_sign (Integer)</a><br />
31
+ <a href="classes/Vpi/Handle.html#M000048">force_value (Vpi::Handle)</a><br />
31
32
  <a href="classes/Vpi/Handle.html#M000046">get_value (Vpi::Handle)</a><br />
32
33
  <a href="classes/Vpi/Handle.html#M000045">get_value_wrapper (Vpi::Handle)</a><br />
33
34
  <a href="classes/Vpi/Handle.html#M000040">high! (Vpi::Handle)</a><br />
34
35
  <a href="classes/Vpi/Handle.html#M000039">high? (Vpi::Handle)</a><br />
35
36
  <a href="classes/VerilogParser/Module/Port.html#M000007">input? (VerilogParser::Module::Port)</a><br />
36
- <a href="classes/Vpi/Handle.html#M000051">inspect (Vpi::Handle)</a><br />
37
- <a href="classes/Vpi/S_vpi_time.html#M000055">integer (Vpi::S_vpi_time)</a><br />
38
- <a href="classes/Vpi/S_vpi_time.html#M000056">integer= (Vpi::S_vpi_time)</a><br />
37
+ <a href="classes/Vpi/Handle.html#M000054">inspect (Vpi::Handle)</a><br />
38
+ <a href="classes/Vpi/S_vpi_time.html#M000058">integer (Vpi::S_vpi_time)</a><br />
39
+ <a href="classes/Vpi/S_vpi_time.html#M000059">integer= (Vpi::S_vpi_time)</a><br />
39
40
  <a href="classes/Integer.html#M000010">length (Integer)</a><br />
40
41
  <a href="classes/Integer.html#M000011">limit (Integer)</a><br />
41
42
  <a href="classes/Integer.html#M000009">log2 (Integer)</a><br />
@@ -45,34 +46,36 @@
45
46
  <a href="classes/Integer.html#M000013">mask (Integer)</a><br />
46
47
  <a href="classes/Integer.html#M000015">max (Integer)</a><br />
47
48
  <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000002">method_missing (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
48
- <a href="classes/Vpi/Handle.html#M000054">method_missing (Vpi::Handle)</a><br />
49
+ <a href="classes/Vpi/Handle.html#M000057">method_missing (Vpi::Handle)</a><br />
49
50
  <a href="classes/Vpi/Handle.html#M000044">negedge? (Vpi::Handle)</a><br />
50
- <a href="classes/VerilogParser/Module.html#M000005">new (VerilogParser::Module)</a><br />
51
51
  <a href="classes/VerilogParser/Module/Port.html#M000006">new (VerilogParser::Module::Port)</a><br />
52
52
  <a href="classes/ERB.html#M000026">new (ERB)</a><br />
53
+ <a href="classes/VerilogParser/Module.html#M000005">new (VerilogParser::Module)</a><br />
53
54
  <a href="classes/VerilogParser.html#M000004">new (VerilogParser)</a><br />
54
55
  <a href="classes/VerilogParser/Module/Port.html#M000008">output? (VerilogParser::Module::Port)</a><br />
55
56
  <a href="classes/Integer.html#M000017">pack (Integer)</a><br />
56
57
  <a href="classes/Vpi/Handle.html#M000043">posedge? (Vpi::Handle)</a><br />
57
58
  <a href="classes/Vpi/Handle.html#M000047">put_value (Vpi::Handle)</a><br />
58
- <a href="classes/String.html#M000023">rstrip_from (String)</a><br />
59
+ <a href="classes/Vpi/Handle.html#M000049">release_value (Vpi::Handle)</a><br />
60
+ <a href="classes/String.html#M000025">rstrip_from (String)</a><br />
59
61
  <a href="classes/FileUtils.html#M000027">sh (FileUtils)</a><br />
60
62
  <a href="classes/Vpi.html#M000031">simulation_time (Vpi)</a><br />
61
63
  <a href="classes/Integer.html#M000020">split (Integer)</a><br />
62
- <a href="classes/Vpi/Handle.html#M000049">to_a (Vpi::Handle)</a><br />
63
- <a href="classes/String.html#M000024">to_f (String)</a><br />
64
+ <a href="classes/Vpi/Handle.html#M000052">to_a (Vpi::Handle)</a><br />
65
+ <a href="classes/String.html#M000022">to_f (String)</a><br />
64
66
  <a href="classes/Vpi/S_vpi_value.html#M000033">to_f (Vpi::S_vpi_value)</a><br />
67
+ <a href="classes/Vpi/S_vpi_time.html#M000060">to_i (Vpi::S_vpi_time)</a><br />
65
68
  <a href="classes/Vpi/S_vpi_value.html#M000032">to_i (Vpi::S_vpi_value)</a><br />
66
- <a href="classes/Vpi/S_vpi_time.html#M000057">to_i (Vpi::S_vpi_time)</a><br />
67
69
  <a href="classes/Integer.html#M000012">to_limit (Integer)</a><br />
68
70
  <a href="classes/Integer.html#M000014">to_mask (Integer)</a><br />
69
71
  <a href="classes/Integer.html#M000016">to_max (Integer)</a><br />
70
- <a href="classes/String.html#M000022">to_ruby_const_name (String)</a><br />
72
+ <a href="classes/String.html#M000024">to_ruby_const_name (String)</a><br />
71
73
  <a href="classes/Vpi/S_vpi_value.html#M000034">to_s (Vpi::S_vpi_value)</a><br />
72
- <a href="classes/Vpi/Handle.html#M000052">to_s (Vpi::Handle)</a><br />
74
+ <a href="classes/Vpi/Handle.html#M000055">to_s (Vpi::Handle)</a><br />
73
75
  <a href="classes/Integer.html#M000018">unpack (Integer)</a><br />
74
- <a href="classes/RDoc.html#M000058">usage_from_file (RDoc)</a><br />
75
- <a href="classes/String.html#M000025">verilog_to_ruby (String)</a><br />
76
+ <a href="classes/RDoc.html#M000061">usage_from_file (RDoc)</a><br />
77
+ <a href="classes/Vpi/Handle.html#M000050">value_forced? (Vpi::Handle)</a><br />
78
+ <a href="classes/String.html#M000023">verilog_to_ruby (String)</a><br />
76
79
  <a href="classes/Vpi.html#M000029">vpi_register_cb (Vpi)</a><br />
77
80
  <a href="classes/Vpi/Handle.html#M000036">x! (Vpi::Handle)</a><br />
78
81
  <a href="classes/Vpi/Handle.html#M000035">x? (Vpi::Handle)</a><br />
@@ -8,7 +8,7 @@ module register_file (
8
8
  );
9
9
  reg [3:0] register [0:3];
10
10
 
11
- always @(*) begin
11
+ always @(rdReg, wtReg, rw, enable) begin
12
12
  if (rw == 0) begin
13
13
  outBus = register[rdReg];
14
14
  end else if (enable) begin
@@ -1,25 +1,29 @@
1
1
  require 'spec'
2
2
 
3
3
  module RegisterInterface
4
- MAX_INDEX = Register_file.register.size - 1
4
+ NUM_REGS = Register_file.register.size
5
5
 
6
6
  def set_registers(vals)
7
+ raise ArgumentError if vals.length > NUM_REGS
8
+
7
9
  Register_file.rw.intVal = 1
8
10
 
9
- 0.upto(MAX_INDEX) do |i|
11
+ vals.each_with_index do |val, i|
10
12
  Register_file.wtReg.intVal = i
11
- Register_file.inBus.intVal = vals[i]
13
+ Register_file.inBus.intVal = val
12
14
  Register_file.cycle!
13
15
  end
14
16
  end
15
17
 
16
18
  def expect_registers(vals)
19
+ raise ArgumentError if vals.length > NUM_REGS
20
+
17
21
  Register_file.rw.intVal = 0
18
22
 
19
- MAX_INDEX.downto(0) do |i|
23
+ vals.each_with_index do |val, i|
20
24
  Register_file.rdReg.intVal = i
21
25
  Register_file.cycle!
22
- Register_file.outBus.intVal.should == vals[i]
26
+ Register_file.outBus.intVal.should == val
23
27
  end
24
28
  end
25
29
  end
metadata CHANGED
@@ -3,8 +3,8 @@ rubygems_version: 0.9.4
3
3
  specification_version: 1
4
4
  name: ruby-vpi
5
5
  version: !ruby/object:Gem::Version
6
- version: 17.0.0
7
- date: 2007-07-22 00:00:00 -07:00
6
+ version: 18.0.0
7
+ date: 2007-07-31 00:00:00 -07:00
8
8
  summary: Ruby interface to IEEE 1364-2005 Verilog VPI
9
9
  require_paths:
10
10
  - lib
@@ -43,22 +43,22 @@ files:
43
43
  - ext/swig_vpi.i
44
44
  - ext/vlog.c
45
45
  - ext/Rakefile
46
+ - ext/main.h
46
47
  - ext/common.h
47
48
  - ext/extconf.rb
48
49
  - ext/relay.h
49
- - ext/main.h
50
+ - ext/main.c
50
51
  - ext/verilog.h
51
52
  - ext/vlog.h
52
53
  - ext/relay.c
53
54
  - ext/Doxyfile
54
55
  - ext/vpi_user.h
55
- - ext/main.c
56
56
  - ext/swig_vpi.h
57
57
  - ext/swig_wrap.cin
58
- - bin/generate
59
58
  - bin/convert.rb
60
- - bin/ruby-vpi
59
+ - bin/generate
61
60
  - bin/generate.rb
61
+ - bin/ruby-vpi
62
62
  - bin/generate/runner.rake
63
63
  - bin/generate/design.rb
64
64
  - bin/generate/proto.rb
@@ -67,69 +67,70 @@ files:
67
67
  - lib/ruby-vpi
68
68
  - lib/ruby-vpi/erb.rb
69
69
  - lib/ruby-vpi/rake.rb
70
- - lib/ruby-vpi/util.rb
70
+ - lib/ruby-vpi/rcov.rb
71
71
  - lib/ruby-vpi/runner.rb
72
72
  - lib/ruby-vpi/integer.rb
73
73
  - lib/ruby-vpi/rdoc.rb
74
74
  - lib/ruby-vpi/runner_proxy.rb
75
75
  - lib/ruby-vpi/float.rb
76
- - lib/ruby-vpi/rcov.rb
77
76
  - lib/ruby-vpi/vpi.rb
78
77
  - lib/ruby-vpi/verilog_parser.rb
78
+ - lib/ruby-vpi/util.rb
79
79
  - lib/ruby-vpi/runner_boot_loader.rb
80
- - doc/common.inc
80
+ - lib/ruby-vpi/pli.tab
81
+ - doc/history.rb
81
82
  - doc/Rakefile
82
83
  - doc/figures
83
84
  - doc/images
84
85
  - doc/rss.erb
85
- - doc/memo.doc
86
86
  - doc/manual.doc
87
+ - doc/memo.doc
88
+ - doc/rss.xml
87
89
  - doc/history.doc
88
90
  - doc/common.css
89
91
  - doc/readme.doc
90
92
  - doc/common.tpl
91
- - doc/history.rb
93
+ - doc/history.yaml
92
94
  - doc/intro.inc
93
95
  - doc/lib
94
- - doc/README
95
- - doc/print.css
96
- - doc/history.yaml
97
96
  - doc/history.inc
98
- - doc/memo.html
99
97
  - doc/manual.html
98
+ - doc/memo.html
100
99
  - doc/history.html
100
+ - doc/README
101
+ - doc/print.css
101
102
  - doc/readme.html
102
- - doc/rss.xml
103
+ - doc/common.inc
103
104
  - doc/figures/figures.dia
104
105
  - doc/figures/ruby_relay.png
105
106
  - doc/figures/organization.png
106
107
  - doc/figures/organization_detailed.png
108
+ - doc/images/feed-icon-28x28.png
107
109
  - doc/images/ruby
108
110
  - doc/images/tango
109
- - doc/images/feed-icon-28x28.png
110
- - doc/images/ruby/LICENSE
111
111
  - doc/images/ruby/logo.png
112
+ - doc/images/ruby/LICENSE
112
113
  - doc/images/ruby/logo-reflection.png
113
114
  - doc/images/ruby/logo-reflection.xcf
114
- - doc/images/tango/LICENSE
115
115
  - doc/images/tango/caution.png
116
- - doc/images/tango/caution.svg
117
116
  - doc/images/tango/home.png
118
- - doc/images/tango/home.svg
119
117
  - doc/images/tango/important.png
120
- - doc/images/tango/important.svg
121
118
  - doc/images/tango/next.png
122
- - doc/images/tango/next.svg
123
119
  - doc/images/tango/note.png
124
- - doc/images/tango/note.svg
125
120
  - doc/images/tango/prev.png
126
- - doc/images/tango/prev.svg
127
121
  - doc/images/tango/tip.png
128
- - doc/images/tango/tip.svg
129
122
  - doc/images/tango/up.png
130
- - doc/images/tango/up.svg
131
123
  - doc/images/tango/warning.png
124
+ - doc/images/tango/caution.svg
125
+ - doc/images/tango/home.svg
126
+ - doc/images/tango/important.svg
127
+ - doc/images/tango/next.svg
128
+ - doc/images/tango/note.svg
129
+ - doc/images/tango/prev.svg
130
+ - doc/images/tango/tip.svg
131
+ - doc/images/tango/up.svg
132
132
  - doc/images/tango/warning.svg
133
+ - doc/images/tango/LICENSE
133
134
  - doc/lib/doc_format.rb
134
135
  - doc/lib/doc_proxy.rb
135
136
  - doc/lib/erb_content.rb
@@ -145,8 +146,8 @@ files:
145
146
  - samp/pipelined_alu/Rakefile
146
147
  - samp/pipelined_alu/hw5_unit_design.rb
147
148
  - samp/pipelined_alu/hw5_unit_spec.rb
148
- - samp/pipelined_alu/hw5_unit_proto.rb
149
149
  - samp/pipelined_alu/hw5_unit_runner.rake
150
+ - samp/pipelined_alu/hw5_unit_proto.rb
150
151
  - samp/counter/counter.v
151
152
  - samp/counter/xUnit
152
153
  - samp/counter/Rakefile
@@ -163,14 +164,14 @@ files:
163
164
  - samp/counter/RSpec/counter_runner.rake
164
165
  - samp/counter/RSpec/counter_spec.rb
165
166
  - samp/counter/RSpec/Rakefile
166
- - samp/register_file/LICENSE
167
- - samp/register_file/Rakefile
168
- - samp/register_file/README
169
167
  - samp/register_file/register_file.v
170
- - samp/register_file/register_file_design.rb
171
- - samp/register_file/register_file_proto.rb
172
168
  - samp/register_file/register_file_runner.rake
169
+ - samp/register_file/README
170
+ - samp/register_file/register_file_design.rb
173
171
  - samp/register_file/register_file_spec.rb
172
+ - samp/register_file/register_file_proto.rb
173
+ - samp/register_file/Rakefile
174
+ - samp/register_file/LICENSE
174
175
  - ref/c
175
176
  - ref/ruby
176
177
  - ref/c/doxygen.png
@@ -238,15 +239,15 @@ files:
238
239
  - ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src
239
240
  - ref/ruby/files/lib/ruby-vpi/erb_rb.html
240
241
  - ref/ruby/files/lib/ruby-vpi/rake_rb.html
241
- - ref/ruby/files/lib/ruby-vpi/util_rb.html
242
+ - ref/ruby/files/lib/ruby-vpi/rcov_rb.html
242
243
  - ref/ruby/files/lib/ruby-vpi/runner_rb.html
243
244
  - ref/ruby/files/lib/ruby-vpi/integer_rb.html
244
245
  - ref/ruby/files/lib/ruby-vpi/rdoc_rb.html
245
246
  - ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html
246
247
  - ref/ruby/files/lib/ruby-vpi/float_rb.html
247
- - ref/ruby/files/lib/ruby-vpi/rcov_rb.html
248
248
  - ref/ruby/files/lib/ruby-vpi/vpi_rb.html
249
249
  - ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html
250
+ - ref/ruby/files/lib/ruby-vpi/util_rb.html
250
251
  - ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html
251
252
  - ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000001.html
252
253
  - ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000002.html
@@ -325,13 +326,16 @@ files:
325
326
  - ref/ruby/classes/Vpi/Handle.src/M000046.html
326
327
  - ref/ruby/classes/Vpi/Handle.src/M000047.html
327
328
  - ref/ruby/classes/Vpi/Handle.src/M000048.html
329
+ - ref/ruby/classes/Vpi/Handle.src/M000049.html
328
330
  - ref/ruby/classes/Vpi/Handle.src/M000050.html
329
331
  - ref/ruby/classes/Vpi/Handle.src/M000051.html
330
332
  - ref/ruby/classes/Vpi/Handle.src/M000053.html
331
333
  - ref/ruby/classes/Vpi/Handle.src/M000054.html
332
- - ref/ruby/classes/Vpi/S_vpi_time.src/M000055.html
333
- - ref/ruby/classes/Vpi/S_vpi_time.src/M000056.html
334
- - ref/ruby/classes/RDoc.src/M000058.html
334
+ - ref/ruby/classes/Vpi/Handle.src/M000056.html
335
+ - ref/ruby/classes/Vpi/Handle.src/M000057.html
336
+ - ref/ruby/classes/Vpi/S_vpi_time.src/M000058.html
337
+ - ref/ruby/classes/Vpi/S_vpi_time.src/M000059.html
338
+ - ref/ruby/classes/RDoc.src/M000061.html
335
339
  test_files: []
336
340
 
337
341
  rdoc_options: []
@@ -1,19 +0,0 @@
1
- <?xml version="1.0" encoding="utf-8"?>
2
- <!DOCTYPE html
3
- PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
4
- "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
5
-
6
- <html>
7
- <head>
8
- <title>integer= (Vpi::S_vpi_time)</title>
9
- <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
10
- <link rel="stylesheet" href="../../.././rdoc-style.css" type="text/css" media="screen" />
11
- </head>
12
- <body class="standalone-code">
13
- <pre> <span class="ruby-comment cmt"># File lib/ruby-vpi/vpi.rb, line 568</span>
14
- 568: <span class="ruby-keyword kw">def</span> <span class="ruby-identifier">integer=</span> <span class="ruby-identifier">aValue</span>
15
- 569: <span class="ruby-keyword kw">self</span>.<span class="ruby-identifier">low</span> = <span class="ruby-identifier">aValue</span> <span class="ruby-operator">&amp;</span> <span class="ruby-constant">INTEGER_MASK</span>
16
- 570: <span class="ruby-keyword kw">self</span>.<span class="ruby-identifier">high</span> = (<span class="ruby-identifier">aValue</span> <span class="ruby-operator">&gt;&gt;</span> <span class="ruby-constant">INTEGER_BITS</span>) <span class="ruby-operator">&amp;</span> <span class="ruby-constant">INTEGER_MASK</span>
17
- 571: <span class="ruby-keyword kw">end</span></pre>
18
- </body>
19
- </html>