rouge 1.10.1 → 1.11.0
This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
- checksums.yaml +4 -4
- data/lib/rouge/cli.rb +1 -1
- data/lib/rouge/demos/actionscript +4 -0
- data/lib/rouge/demos/apiblueprint +33 -0
- data/lib/rouge/demos/biml +38 -0
- data/lib/rouge/demos/ceylon +7 -0
- data/lib/rouge/demos/cmake +7 -0
- data/lib/rouge/demos/coq +11 -0
- data/lib/rouge/demos/d +16 -0
- data/lib/rouge/demos/eiffel +30 -0
- data/lib/rouge/demos/fortran +22 -0
- data/lib/rouge/demos/gradle +10 -0
- data/lib/rouge/demos/jinja +9 -0
- data/lib/rouge/demos/jsonnet +28 -0
- data/lib/rouge/demos/julia +11 -0
- data/lib/rouge/demos/nasm +26 -0
- data/lib/rouge/demos/objective_c +4 -0
- data/lib/rouge/demos/protobuf +5 -0
- data/lib/rouge/demos/shell_session +10 -0
- data/lib/rouge/demos/smarty +12 -0
- data/lib/rouge/demos/tap +5 -0
- data/lib/rouge/demos/twig +9 -0
- data/lib/rouge/demos/typescript +1 -0
- data/lib/rouge/demos/verilog +27 -0
- data/lib/rouge/demos/viml +14 -5
- data/lib/rouge/formatters/html_wrapper.rb +11 -0
- data/lib/rouge/formatters/terminal256.rb +1 -1
- data/lib/rouge/lexer.rb +4 -2
- data/lib/rouge/lexers/actionscript.rb +195 -0
- data/lib/rouge/lexers/apache.rb +23 -20
- data/lib/rouge/lexers/apache/keywords.yml +721 -410
- data/lib/rouge/lexers/apiblueprint.rb +51 -0
- data/lib/rouge/lexers/biml.rb +41 -0
- data/lib/rouge/lexers/c.rb +7 -2
- data/lib/rouge/lexers/ceylon.rb +123 -0
- data/lib/rouge/lexers/clojure.rb +1 -1
- data/lib/rouge/lexers/cmake.rb +206 -0
- data/lib/rouge/lexers/coffeescript.rb +1 -1
- data/lib/rouge/lexers/coq.rb +187 -0
- data/lib/rouge/lexers/cpp.rb +12 -1
- data/lib/rouge/lexers/csharp.rb +12 -5
- data/lib/rouge/lexers/css.rb +2 -0
- data/lib/rouge/lexers/d.rb +176 -0
- data/lib/rouge/lexers/diff.rb +9 -9
- data/lib/rouge/lexers/eiffel.rb +65 -0
- data/lib/rouge/lexers/fortran.rb +142 -0
- data/lib/rouge/lexers/gradle.rb +37 -0
- data/lib/rouge/lexers/groovy.rb +2 -2
- data/lib/rouge/lexers/ini.rb +2 -2
- data/lib/rouge/lexers/java.rb +11 -8
- data/lib/rouge/lexers/javascript.rb +2 -1
- data/lib/rouge/lexers/jinja.rb +137 -0
- data/lib/rouge/lexers/jsonnet.rb +151 -0
- data/lib/rouge/lexers/julia.rb +172 -0
- data/lib/rouge/lexers/markdown.rb +1 -0
- data/lib/rouge/lexers/nasm.rb +203 -0
- data/lib/rouge/lexers/objective_c.rb +11 -0
- data/lib/rouge/lexers/php.rb +1 -1
- data/lib/rouge/lexers/powershell.rb +1 -1
- data/lib/rouge/lexers/protobuf.rb +70 -0
- data/lib/rouge/lexers/python.rb +23 -0
- data/lib/rouge/lexers/r.rb +53 -20
- data/lib/rouge/lexers/ruby.rb +4 -4
- data/lib/rouge/lexers/rust.rb +2 -2
- data/lib/rouge/lexers/shell_session.rb +29 -0
- data/lib/rouge/lexers/smarty.rb +91 -0
- data/lib/rouge/lexers/sql.rb +1 -1
- data/lib/rouge/lexers/swift.rb +20 -5
- data/lib/rouge/lexers/tap.rb +91 -0
- data/lib/rouge/lexers/twig.rb +37 -0
- data/lib/rouge/lexers/typescript.rb +46 -0
- data/lib/rouge/lexers/verilog.rb +164 -0
- data/lib/rouge/regex_lexer.rb +1 -1
- data/lib/rouge/version.rb +1 -1
- data/rouge.gemspec +1 -1
- metadata +47 -6
- data/lib/rouge/formatters/html_inline.rb +0 -20
- data/lib/rouge/formatters/html_linewise.rb +0 -31
@@ -0,0 +1,91 @@
|
|
1
|
+
module Rouge
|
2
|
+
module Lexers
|
3
|
+
class Tap < RegexLexer
|
4
|
+
title 'TAP'
|
5
|
+
desc 'Test Anything Protocol'
|
6
|
+
tag 'tap'
|
7
|
+
aliases 'tap'
|
8
|
+
filenames '*.tap'
|
9
|
+
|
10
|
+
mimetypes 'text/x-tap', 'application/x-tap'
|
11
|
+
|
12
|
+
def self.analyze_text(text)
|
13
|
+
return 0
|
14
|
+
end
|
15
|
+
|
16
|
+
state :root do
|
17
|
+
# A TAP version may be specified.
|
18
|
+
rule /^TAP version \d+\n/, Name::Namespace
|
19
|
+
|
20
|
+
# Specify a plan with a plan line.
|
21
|
+
rule /^1\.\.\d+/, Keyword::Declaration, :plan
|
22
|
+
|
23
|
+
# A test failure
|
24
|
+
rule /^(not ok)([^\S\n]*)(\d*)/ do
|
25
|
+
groups Generic::Error, Text, Literal::Number::Integer
|
26
|
+
push :test
|
27
|
+
end
|
28
|
+
|
29
|
+
# A test success
|
30
|
+
rule /^(ok)([^\S\n]*)(\d*)/ do
|
31
|
+
groups Keyword::Reserved, Text, Literal::Number::Integer
|
32
|
+
push :test
|
33
|
+
end
|
34
|
+
|
35
|
+
# Diagnostics start with a hash.
|
36
|
+
rule /^#.*\n/, Comment
|
37
|
+
|
38
|
+
# TAP's version of an abort statement.
|
39
|
+
rule /^Bail out!.*\n/, Generic::Error
|
40
|
+
|
41
|
+
# # TAP ignores any unrecognized lines.
|
42
|
+
rule /^.*\n/, Text
|
43
|
+
end
|
44
|
+
|
45
|
+
state :plan do
|
46
|
+
# Consume whitespace (but not newline).
|
47
|
+
rule /[^\S\n]+/, Text
|
48
|
+
|
49
|
+
# A plan may have a directive with it.
|
50
|
+
rule /#/, Comment, :directive
|
51
|
+
|
52
|
+
# Or it could just end.
|
53
|
+
rule /\n/, Comment, :pop!
|
54
|
+
|
55
|
+
# Anything else is wrong.
|
56
|
+
rule /.*\n/, Generic::Error, :pop!
|
57
|
+
end
|
58
|
+
|
59
|
+
state :test do
|
60
|
+
# Consume whitespace (but not newline).
|
61
|
+
rule /[^\S\n]+/, Text
|
62
|
+
|
63
|
+
# A test may have a directive with it.
|
64
|
+
rule /#/, Comment, :directive
|
65
|
+
|
66
|
+
rule /\S+/, Text
|
67
|
+
|
68
|
+
rule /\n/, Text, :pop!
|
69
|
+
end
|
70
|
+
|
71
|
+
state :directive do
|
72
|
+
# Consume whitespace (but not newline).
|
73
|
+
rule /[^\S\n]+/, Comment
|
74
|
+
|
75
|
+
# Extract todo items.
|
76
|
+
rule /(?i)\bTODO\b/, Comment::Preproc
|
77
|
+
|
78
|
+
# Extract skip items.
|
79
|
+
rule /(?i)\bSKIP\S*/, Comment::Preproc
|
80
|
+
|
81
|
+
rule /\S+/, Comment
|
82
|
+
|
83
|
+
rule /\n/ do
|
84
|
+
token Comment
|
85
|
+
pop! 2
|
86
|
+
end
|
87
|
+
end
|
88
|
+
end
|
89
|
+
end
|
90
|
+
end
|
91
|
+
|
@@ -0,0 +1,37 @@
|
|
1
|
+
# -*- coding: utf-8 -*- #
|
2
|
+
|
3
|
+
module Rouge
|
4
|
+
module Lexers
|
5
|
+
load_lexer 'jinja.rb'
|
6
|
+
|
7
|
+
class Twig < Jinja
|
8
|
+
title "Twig"
|
9
|
+
desc "Twig template engine (twig.sensiolabs.org)"
|
10
|
+
|
11
|
+
tag "twig"
|
12
|
+
|
13
|
+
mimetypes 'application/x-twig', 'text/html+twig'
|
14
|
+
|
15
|
+
def self.keywords
|
16
|
+
@@keywords ||= %w(as do extends flush from import include use else starts
|
17
|
+
ends with without autoescape endautoescape block endblock
|
18
|
+
embed endembed filter endfilter for endfor if endif
|
19
|
+
macro endmacro sandbox endsandbox set endset
|
20
|
+
spaceless endspaceless verbatim endverbatim)
|
21
|
+
end
|
22
|
+
|
23
|
+
def self.tests
|
24
|
+
@@tests ||= %w(constant defined divisibleby empty even iterable null odd
|
25
|
+
sameas)
|
26
|
+
end
|
27
|
+
|
28
|
+
def self.pseudo_keywords
|
29
|
+
@@pseudo_keywords ||= %w(true false none)
|
30
|
+
end
|
31
|
+
|
32
|
+
def self.word_operators
|
33
|
+
@@word_operators ||= %w(b-and b-or b-xor is in and or not)
|
34
|
+
end
|
35
|
+
end
|
36
|
+
end
|
37
|
+
end
|
@@ -0,0 +1,46 @@
|
|
1
|
+
# -*- coding: utf-8 -*- #
|
2
|
+
|
3
|
+
module Rouge
|
4
|
+
module Lexers
|
5
|
+
load_lexer 'javascript.rb'
|
6
|
+
|
7
|
+
class Typescript < Javascript
|
8
|
+
title "TypeScript"
|
9
|
+
desc "TypeScript, a superset of JavaScript"
|
10
|
+
|
11
|
+
tag 'typescript'
|
12
|
+
aliases 'ts'
|
13
|
+
|
14
|
+
filenames '*.ts', '*.d.ts'
|
15
|
+
|
16
|
+
mimetypes 'text/typescript'
|
17
|
+
|
18
|
+
def self.keywords
|
19
|
+
@keywords ||= super + Set.new(%w(
|
20
|
+
import export from as is
|
21
|
+
namespace new static private protected public
|
22
|
+
super async await extends implements readonly
|
23
|
+
))
|
24
|
+
end
|
25
|
+
|
26
|
+
def self.declarations
|
27
|
+
@declarations ||= super + Set.new(%w(
|
28
|
+
const type constructor abstract
|
29
|
+
))
|
30
|
+
end
|
31
|
+
|
32
|
+
def self.reserved
|
33
|
+
@reserved ||= super + Set.new(%w(
|
34
|
+
string any number namespace module
|
35
|
+
declare default interface
|
36
|
+
))
|
37
|
+
end
|
38
|
+
|
39
|
+
def self.builtins
|
40
|
+
@builtins ||= super + %w(
|
41
|
+
Promise Set Map WeakSet WeakMap Symbol
|
42
|
+
)
|
43
|
+
end
|
44
|
+
end
|
45
|
+
end
|
46
|
+
end
|
@@ -0,0 +1,164 @@
|
|
1
|
+
# -*- coding: utf-8 -*- #
|
2
|
+
|
3
|
+
module Rouge
|
4
|
+
module Lexers
|
5
|
+
class Verilog < RegexLexer
|
6
|
+
title "Verilog and System Verilog"
|
7
|
+
desc "The System Verilog hardware description language"
|
8
|
+
tag 'verilog'
|
9
|
+
filenames '*.v', '*.sv', '*.svh'
|
10
|
+
mimetypes 'text/x-verilog', 'text/x-systemverilog'
|
11
|
+
|
12
|
+
# optional comment or whitespace
|
13
|
+
ws = %r((?:\s|//.*?\n|/[*].*?[*]/)+)
|
14
|
+
id = /[a-zA-Z_][a-zA-Z0-9_]*/
|
15
|
+
|
16
|
+
def self.keywords
|
17
|
+
@keywords ||= Set.new %w(
|
18
|
+
alias always always_comb always_ff always_latch assert assert_strobe
|
19
|
+
assign assume automatic attribute before begin bind bins binsof break
|
20
|
+
case casex casez clocking config constraint context continue cover
|
21
|
+
covergroup coverpoint cross deassign defparam default design dist do
|
22
|
+
else end endattribute endcase endclass endclocking endconfig
|
23
|
+
endfunction endgenerate endgroup endinterface endmodule endpackage
|
24
|
+
endprimitive endprogram endproperty endspecify endsequence endtable
|
25
|
+
endtask expect export extends extern final first_match for force
|
26
|
+
foreach fork forkjoin forever function generate genvar if iff ifnone
|
27
|
+
ignore_bins illegal_bins import incdir include initial inside instance
|
28
|
+
interface intersect join join_any join_none liblist library local
|
29
|
+
localparam matches module modport new noshowcancelled null package
|
30
|
+
parameter primitive priority program property protected
|
31
|
+
pulsestyle_onevent pulsestyle_ondetect pure rand randc randcase
|
32
|
+
randsequence release return sequence showcancelled solve specify super
|
33
|
+
table task this throughout timeprecision timeunit type typedef unique
|
34
|
+
use wait wait_order while wildcard with within
|
35
|
+
)
|
36
|
+
end
|
37
|
+
|
38
|
+
def self.keywords_type
|
39
|
+
@keywords_type ||= Set.new %w(
|
40
|
+
and bit buf bufif0 bufif1 byte cell chandle class cmos const disable
|
41
|
+
edge enum event highz0 highz1 initial inout input int integer join
|
42
|
+
logic longint macromodule medium nand negedge nmos nor not
|
43
|
+
notif0 notif1 or output packed parameter pmos posedge pull0 pull1
|
44
|
+
pulldown pullup rcmos real realtime ref reg repeat rnmos rpmos rtran
|
45
|
+
rtranif0 rtranif1 scalared shortint shortreal signed specparam
|
46
|
+
static string strength strong0 strong1 struct supply0 supply1 tagged
|
47
|
+
time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg union
|
48
|
+
unsigned uwire var vectored virtual void wait wand weak[01] wire wor
|
49
|
+
xnor xor
|
50
|
+
)
|
51
|
+
end
|
52
|
+
|
53
|
+
def self.keywords_system_task
|
54
|
+
@keyword_system_task ||= Set.new %w(
|
55
|
+
acos acosh asin asinh assertfailoff assertfailon assertkill
|
56
|
+
assertnonvacuouson assertoff asserton assertpassoff assertpasson
|
57
|
+
assertvacuousoff atan atan2 atanh bits bitstoreal bitstoshortreal
|
58
|
+
cast ceil changed changed_gclk changing_gclk clog2 cos cosh countones
|
59
|
+
coverage_control coverage_get coverage_get_max coverage_merge
|
60
|
+
coverage_save dimensions display displayb displayh displayo
|
61
|
+
dist_chi_square dist_erlang dist_exponential dist_normal dist_poisson
|
62
|
+
dist_t dist_uniform dumpall dumpfile dumpflush dumplimit dumpoff
|
63
|
+
dumpon dumpports dumpportsall dumpportsflush dumpportslimit
|
64
|
+
dumpportsoff dumpportson dumpvars error exit exp falling_gclk fclose
|
65
|
+
fdisplay fdisplayb fdisplayh fdisplayo fell fell_gclk feof ferror
|
66
|
+
fflush fgetc fgets finish floor fmonitor fmonitorb fmonitorh fmonitoro
|
67
|
+
fopen fread fscanf fseek fstrobe fstrobeb fstrobeh fstrobeo ftell
|
68
|
+
future_gclk fwrite fwriteb fwriteh fwriteo get_coverage high hypot
|
69
|
+
increment info isunbounded isunknown itor left ln load_coverage_db
|
70
|
+
log10 low monitor monitorb monitorh monitoro monitoroff monitoron
|
71
|
+
onehot onehot0 past past_gclk pow printtimescale q_add q_exam q_full
|
72
|
+
q_initialize q_remove random readmemb readmemh realtime realtobits
|
73
|
+
rewind right rising_gclk rose rose_gclk rtoi sampled
|
74
|
+
set_coverage_db_name sformat sformatf shortrealtobits signed sin sinh
|
75
|
+
size sqrt sscanf stable stable_gclk steady_gclk stime stop strobe
|
76
|
+
strobeb strobeh strobeo swrite swriteb swriteh swriteo system tan tanh
|
77
|
+
time timeformat typename ungetc unpacked_dimensions unsigned warning
|
78
|
+
write writeb writeh writememb writememh writeo
|
79
|
+
)
|
80
|
+
end
|
81
|
+
|
82
|
+
state :expr_bol do
|
83
|
+
mixin :inline_whitespace
|
84
|
+
rule /`define/, Comment::Preproc, :macro
|
85
|
+
|
86
|
+
rule(//) { pop! }
|
87
|
+
end
|
88
|
+
|
89
|
+
# :expr_bol is the same as :bol but without labels, since
|
90
|
+
# labels can only appear at the beginning of a statement.
|
91
|
+
state :bol do
|
92
|
+
rule /#{id}:(?!:)/, Name::Label
|
93
|
+
mixin :expr_bol
|
94
|
+
end
|
95
|
+
|
96
|
+
state :inline_whitespace do
|
97
|
+
rule /[ \t\r]+/, Text
|
98
|
+
rule /\\\n/, Text # line continuation
|
99
|
+
rule %r(/(\\\n)?[*].*?[*](\\\n)?/)m, Comment::Multiline
|
100
|
+
end
|
101
|
+
|
102
|
+
state :whitespace do
|
103
|
+
rule /\n+/m, Text, :bol
|
104
|
+
rule %r(//(\\.|.)*?\n), Comment::Single, :bol
|
105
|
+
mixin :inline_whitespace
|
106
|
+
end
|
107
|
+
|
108
|
+
state :expr_whitespace do
|
109
|
+
rule /\n+/m, Text, :expr_bol
|
110
|
+
mixin :whitespace
|
111
|
+
end
|
112
|
+
|
113
|
+
state :string do
|
114
|
+
rule /"/, Str, :pop!
|
115
|
+
rule /\\([\\abfnrtv"']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})/, Str::Escape
|
116
|
+
rule /[^\\"\n]+/, Str
|
117
|
+
rule /\\\n/, Str
|
118
|
+
rule /\\/, Str # stray backslash
|
119
|
+
end
|
120
|
+
|
121
|
+
state :statement do
|
122
|
+
mixin :whitespace
|
123
|
+
rule /L?"/, Str, :string
|
124
|
+
rule /(\d+\.\d*|\d*\.\d+)(e[+-]?[0-9]+)?/i, Num::Float
|
125
|
+
rule /\d+e[+-]?[0-9]+/i, Num::Float
|
126
|
+
rule /[0-9]*'h[0-9a-fA-F]+/, Num::Hex
|
127
|
+
rule /[0-9]*'b?[01xz]+/, Num::Bin
|
128
|
+
rule /[0-9]*'d[0-9]+/, Num::Integer
|
129
|
+
rule /\d+[lu]*/i, Num::Integer
|
130
|
+
rule %r([~!%^&*+-=\|?:<>/@{}]), Operator
|
131
|
+
rule /[()\[\],.$\#]/, Punctuation
|
132
|
+
rule /`(\w+)/, Comment::Preproc
|
133
|
+
|
134
|
+
rule id do |m|
|
135
|
+
name = m[0]
|
136
|
+
|
137
|
+
if self.class.keywords.include? name
|
138
|
+
token Keyword
|
139
|
+
elsif self.class.keywords_type.include? name
|
140
|
+
token Keyword::Type
|
141
|
+
elsif self.class.keywords_system_task.include? name
|
142
|
+
token Name::Builtin
|
143
|
+
else
|
144
|
+
token Name
|
145
|
+
end
|
146
|
+
end
|
147
|
+
end
|
148
|
+
|
149
|
+
state :root do
|
150
|
+
mixin :expr_whitespace
|
151
|
+
rule(//) { push :statement }
|
152
|
+
end
|
153
|
+
|
154
|
+
state :macro do
|
155
|
+
rule /\n/, Comment::Preproc, :pop!
|
156
|
+
mixin :inline_whitespace
|
157
|
+
rule /;/, Punctuation
|
158
|
+
rule /\=/, Operator
|
159
|
+
rule /(\w+)/, Text
|
160
|
+
end
|
161
|
+
|
162
|
+
end
|
163
|
+
end
|
164
|
+
end
|
data/lib/rouge/regex_lexer.rb
CHANGED
@@ -191,7 +191,7 @@ module Rouge
|
|
191
191
|
replace_state(name, dsl.prepended(&b))
|
192
192
|
end
|
193
193
|
|
194
|
-
def self.append(
|
194
|
+
def self.append(name, &b)
|
195
195
|
name = name.to_s
|
196
196
|
dsl = state_definitions[name] or raise "no such state #{name.inspect}"
|
197
197
|
replace_state(name, dsl.appended(&b))
|
data/lib/rouge/version.rb
CHANGED
data/rouge.gemspec
CHANGED
@@ -14,5 +14,5 @@ Gem::Specification.new do |s|
|
|
14
14
|
s.rubyforge_project = "rouge"
|
15
15
|
s.files = Dir['Gemfile', 'LICENSE', 'rouge.gemspec', 'lib/**/*.rb', 'lib/**/*.yml', 'bin/rougify', 'lib/rouge/demos/*']
|
16
16
|
s.executables = %w(rougify)
|
17
|
-
s.license = 'MIT
|
17
|
+
s.license = 'MIT, 2-clause BSD'
|
18
18
|
end
|
metadata
CHANGED
@@ -1,14 +1,14 @@
|
|
1
1
|
--- !ruby/object:Gem::Specification
|
2
2
|
name: rouge
|
3
3
|
version: !ruby/object:Gem::Version
|
4
|
-
version: 1.
|
4
|
+
version: 1.11.0
|
5
5
|
platform: ruby
|
6
6
|
authors:
|
7
7
|
- Jeanine Adkisson
|
8
8
|
autorequire:
|
9
9
|
bindir: bin
|
10
10
|
cert_chain: []
|
11
|
-
date:
|
11
|
+
date: 2016-06-06 00:00:00.000000000 Z
|
12
12
|
dependencies: []
|
13
13
|
description: Rouge aims to a be a simple, easy-to-extend drop-in replacement for pygments.
|
14
14
|
email:
|
@@ -23,25 +23,35 @@ files:
|
|
23
23
|
- bin/rougify
|
24
24
|
- lib/rouge.rb
|
25
25
|
- lib/rouge/cli.rb
|
26
|
+
- lib/rouge/demos/actionscript
|
26
27
|
- lib/rouge/demos/apache
|
28
|
+
- lib/rouge/demos/apiblueprint
|
27
29
|
- lib/rouge/demos/applescript
|
30
|
+
- lib/rouge/demos/biml
|
28
31
|
- lib/rouge/demos/c
|
32
|
+
- lib/rouge/demos/ceylon
|
29
33
|
- lib/rouge/demos/clojure
|
34
|
+
- lib/rouge/demos/cmake
|
30
35
|
- lib/rouge/demos/coffeescript
|
31
36
|
- lib/rouge/demos/common_lisp
|
32
37
|
- lib/rouge/demos/conf
|
38
|
+
- lib/rouge/demos/coq
|
33
39
|
- lib/rouge/demos/cpp
|
34
40
|
- lib/rouge/demos/csharp
|
35
41
|
- lib/rouge/demos/css
|
42
|
+
- lib/rouge/demos/d
|
36
43
|
- lib/rouge/demos/dart
|
37
44
|
- lib/rouge/demos/diff
|
45
|
+
- lib/rouge/demos/eiffel
|
38
46
|
- lib/rouge/demos/elixir
|
39
47
|
- lib/rouge/demos/erb
|
40
48
|
- lib/rouge/demos/erlang
|
41
49
|
- lib/rouge/demos/factor
|
50
|
+
- lib/rouge/demos/fortran
|
42
51
|
- lib/rouge/demos/gherkin
|
43
52
|
- lib/rouge/demos/glsl
|
44
53
|
- lib/rouge/demos/go
|
54
|
+
- lib/rouge/demos/gradle
|
45
55
|
- lib/rouge/demos/groovy
|
46
56
|
- lib/rouge/demos/haml
|
47
57
|
- lib/rouge/demos/handlebars
|
@@ -52,8 +62,11 @@ files:
|
|
52
62
|
- lib/rouge/demos/io
|
53
63
|
- lib/rouge/demos/java
|
54
64
|
- lib/rouge/demos/javascript
|
65
|
+
- lib/rouge/demos/jinja
|
55
66
|
- lib/rouge/demos/json
|
56
67
|
- lib/rouge/demos/json-doc
|
68
|
+
- lib/rouge/demos/jsonnet
|
69
|
+
- lib/rouge/demos/julia
|
57
70
|
- lib/rouge/demos/liquid
|
58
71
|
- lib/rouge/demos/literate_coffeescript
|
59
72
|
- lib/rouge/demos/literate_haskell
|
@@ -63,6 +76,7 @@ files:
|
|
63
76
|
- lib/rouge/demos/markdown
|
64
77
|
- lib/rouge/demos/matlab
|
65
78
|
- lib/rouge/demos/moonscript
|
79
|
+
- lib/rouge/demos/nasm
|
66
80
|
- lib/rouge/demos/nginx
|
67
81
|
- lib/rouge/demos/nim
|
68
82
|
- lib/rouge/demos/objective_c
|
@@ -74,6 +88,7 @@ files:
|
|
74
88
|
- lib/rouge/demos/praat
|
75
89
|
- lib/rouge/demos/prolog
|
76
90
|
- lib/rouge/demos/properties
|
91
|
+
- lib/rouge/demos/protobuf
|
77
92
|
- lib/rouge/demos/puppet
|
78
93
|
- lib/rouge/demos/python
|
79
94
|
- lib/rouge/demos/qml
|
@@ -87,47 +102,62 @@ files:
|
|
87
102
|
- lib/rouge/demos/scss
|
88
103
|
- lib/rouge/demos/sed
|
89
104
|
- lib/rouge/demos/shell
|
105
|
+
- lib/rouge/demos/shell_session
|
90
106
|
- lib/rouge/demos/slim
|
91
107
|
- lib/rouge/demos/smalltalk
|
108
|
+
- lib/rouge/demos/smarty
|
92
109
|
- lib/rouge/demos/sml
|
93
110
|
- lib/rouge/demos/sql
|
94
111
|
- lib/rouge/demos/swift
|
112
|
+
- lib/rouge/demos/tap
|
95
113
|
- lib/rouge/demos/tcl
|
96
114
|
- lib/rouge/demos/tex
|
97
115
|
- lib/rouge/demos/toml
|
98
116
|
- lib/rouge/demos/tulip
|
117
|
+
- lib/rouge/demos/twig
|
118
|
+
- lib/rouge/demos/typescript
|
99
119
|
- lib/rouge/demos/vb
|
120
|
+
- lib/rouge/demos/verilog
|
100
121
|
- lib/rouge/demos/viml
|
101
122
|
- lib/rouge/demos/xml
|
102
123
|
- lib/rouge/demos/yaml
|
103
124
|
- lib/rouge/formatter.rb
|
104
125
|
- lib/rouge/formatters/html.rb
|
105
|
-
- lib/rouge/formatters/
|
106
|
-
- lib/rouge/formatters/html_linewise.rb
|
126
|
+
- lib/rouge/formatters/html_wrapper.rb
|
107
127
|
- lib/rouge/formatters/null.rb
|
108
128
|
- lib/rouge/formatters/terminal256.rb
|
109
129
|
- lib/rouge/lexer.rb
|
130
|
+
- lib/rouge/lexers/actionscript.rb
|
110
131
|
- lib/rouge/lexers/apache.rb
|
111
132
|
- lib/rouge/lexers/apache/keywords.yml
|
133
|
+
- lib/rouge/lexers/apiblueprint.rb
|
112
134
|
- lib/rouge/lexers/apple_script.rb
|
135
|
+
- lib/rouge/lexers/biml.rb
|
113
136
|
- lib/rouge/lexers/c.rb
|
137
|
+
- lib/rouge/lexers/ceylon.rb
|
114
138
|
- lib/rouge/lexers/clojure.rb
|
139
|
+
- lib/rouge/lexers/cmake.rb
|
115
140
|
- lib/rouge/lexers/coffeescript.rb
|
116
141
|
- lib/rouge/lexers/common_lisp.rb
|
117
142
|
- lib/rouge/lexers/conf.rb
|
143
|
+
- lib/rouge/lexers/coq.rb
|
118
144
|
- lib/rouge/lexers/cpp.rb
|
119
145
|
- lib/rouge/lexers/csharp.rb
|
120
146
|
- lib/rouge/lexers/css.rb
|
147
|
+
- lib/rouge/lexers/d.rb
|
121
148
|
- lib/rouge/lexers/dart.rb
|
122
149
|
- lib/rouge/lexers/diff.rb
|
150
|
+
- lib/rouge/lexers/eiffel.rb
|
123
151
|
- lib/rouge/lexers/elixir.rb
|
124
152
|
- lib/rouge/lexers/erb.rb
|
125
153
|
- lib/rouge/lexers/erlang.rb
|
126
154
|
- lib/rouge/lexers/factor.rb
|
155
|
+
- lib/rouge/lexers/fortran.rb
|
127
156
|
- lib/rouge/lexers/gherkin.rb
|
128
157
|
- lib/rouge/lexers/gherkin/keywords.rb
|
129
158
|
- lib/rouge/lexers/glsl.rb
|
130
159
|
- lib/rouge/lexers/go.rb
|
160
|
+
- lib/rouge/lexers/gradle.rb
|
131
161
|
- lib/rouge/lexers/groovy.rb
|
132
162
|
- lib/rouge/lexers/haml.rb
|
133
163
|
- lib/rouge/lexers/handlebars.rb
|
@@ -138,6 +168,9 @@ files:
|
|
138
168
|
- lib/rouge/lexers/io.rb
|
139
169
|
- lib/rouge/lexers/java.rb
|
140
170
|
- lib/rouge/lexers/javascript.rb
|
171
|
+
- lib/rouge/lexers/jinja.rb
|
172
|
+
- lib/rouge/lexers/jsonnet.rb
|
173
|
+
- lib/rouge/lexers/julia.rb
|
141
174
|
- lib/rouge/lexers/liquid.rb
|
142
175
|
- lib/rouge/lexers/literate_coffeescript.rb
|
143
176
|
- lib/rouge/lexers/literate_haskell.rb
|
@@ -149,6 +182,7 @@ files:
|
|
149
182
|
- lib/rouge/lexers/matlab.rb
|
150
183
|
- lib/rouge/lexers/matlab/builtins.rb
|
151
184
|
- lib/rouge/lexers/moonscript.rb
|
185
|
+
- lib/rouge/lexers/nasm.rb
|
152
186
|
- lib/rouge/lexers/nginx.rb
|
153
187
|
- lib/rouge/lexers/nim.rb
|
154
188
|
- lib/rouge/lexers/objective_c.rb
|
@@ -161,6 +195,7 @@ files:
|
|
161
195
|
- lib/rouge/lexers/praat.rb
|
162
196
|
- lib/rouge/lexers/prolog.rb
|
163
197
|
- lib/rouge/lexers/properties.rb
|
198
|
+
- lib/rouge/lexers/protobuf.rb
|
164
199
|
- lib/rouge/lexers/puppet.rb
|
165
200
|
- lib/rouge/lexers/python.rb
|
166
201
|
- lib/rouge/lexers/qml.rb
|
@@ -175,16 +210,22 @@ files:
|
|
175
210
|
- lib/rouge/lexers/scss.rb
|
176
211
|
- lib/rouge/lexers/sed.rb
|
177
212
|
- lib/rouge/lexers/shell.rb
|
213
|
+
- lib/rouge/lexers/shell_session.rb
|
178
214
|
- lib/rouge/lexers/slim.rb
|
179
215
|
- lib/rouge/lexers/smalltalk.rb
|
216
|
+
- lib/rouge/lexers/smarty.rb
|
180
217
|
- lib/rouge/lexers/sml.rb
|
181
218
|
- lib/rouge/lexers/sql.rb
|
182
219
|
- lib/rouge/lexers/swift.rb
|
220
|
+
- lib/rouge/lexers/tap.rb
|
183
221
|
- lib/rouge/lexers/tcl.rb
|
184
222
|
- lib/rouge/lexers/tex.rb
|
185
223
|
- lib/rouge/lexers/toml.rb
|
186
224
|
- lib/rouge/lexers/tulip.rb
|
225
|
+
- lib/rouge/lexers/twig.rb
|
226
|
+
- lib/rouge/lexers/typescript.rb
|
187
227
|
- lib/rouge/lexers/vb.rb
|
228
|
+
- lib/rouge/lexers/verilog.rb
|
188
229
|
- lib/rouge/lexers/viml.rb
|
189
230
|
- lib/rouge/lexers/viml/keywords.rb
|
190
231
|
- lib/rouge/lexers/xml.rb
|
@@ -207,7 +248,7 @@ files:
|
|
207
248
|
- rouge.gemspec
|
208
249
|
homepage: http://rouge.jneen.net/
|
209
250
|
licenses:
|
210
|
-
- MIT
|
251
|
+
- MIT, 2-clause BSD
|
211
252
|
metadata: {}
|
212
253
|
post_install_message:
|
213
254
|
rdoc_options: []
|
@@ -225,7 +266,7 @@ required_rubygems_version: !ruby/object:Gem::Requirement
|
|
225
266
|
version: '0'
|
226
267
|
requirements: []
|
227
268
|
rubyforge_project: rouge
|
228
|
-
rubygems_version: 2.
|
269
|
+
rubygems_version: 2.5.1
|
229
270
|
signing_key:
|
230
271
|
specification_version: 4
|
231
272
|
summary: A pure-ruby colorizer based on pygments
|