rggen 0.20.0 → 0.23.1

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 4b0ca89bd97b12fadfdc1e78eb91cad417d4faa07b4c61904cbeaef395d52987
4
- data.tar.gz: 37056eff9ac783741b928a4b45440bd1f23cf49fd4a766175e39113e12bd5fda
3
+ metadata.gz: f0ddaed15239e1e1ad506d82524fb130c7963dbb8b68d592e8cf8e85e475c8c4
4
+ data.tar.gz: 86b7464bb96f2793f763d0ac60dee061fd9425544031ab205a761925777c0365
5
5
  SHA512:
6
- metadata.gz: 27cdc3cd55728b72d6f9330a9602c63ea705c61b0808031477c822be4a6c6e08015234fc92707e834a82f924e3b29158e1f15259c27d5082a6b6a40c7eda34f5
7
- data.tar.gz: 343b959bbcab5b6763ee242cf6908f1c0317e3c65c676534ed0963b2961e0bb2261de3a5497a804260ef9144b02f7a0ff6d8e91c5fc0879b91f06c23ee181b78
6
+ metadata.gz: 408d5c642724bdd06e77670e088ca190ec453df4d6cae4147b161e7e26e393979a4d54d1e4fc3477b464e352b422b51e6a4d9f004b2bc673a0db18ab3a3104f8
7
+ data.tar.gz: d10f8310d38b88458954979f051ef78bca55813c83d9f2f1ddb8a9585a98f43045c30a424160de6bffde35d0e8f47837f92795cd8a02eea2a4910b2584f3e177
data/README.md CHANGED
@@ -7,20 +7,23 @@
7
7
 
8
8
  # RgGen
9
9
 
10
- RgGen is a code generation tool for ASIC/IP/FPGA/RTL engineers. It will automatically generate soruce code related to configuration and status registers (CSR), e.g. SytemVerilog RTL, UVM RAL model, Wiki documents, from human readable register map specifications.
10
+ RgGen is a code generation tool for ASIC/IP/FPGA/RTL engineers. It will automatically generate soruce code related to configuration and status registers (CSR), e.g. SytemVerilog RTL, UVM register model (UVM RAL), Wiki documents, from human readable register map specifications.
11
11
 
12
12
  RgGen has following features:
13
13
 
14
14
  * Generate source files related to CSR from register map specifications
15
15
  * SystemVerilog RTL
16
- * UVM RAL model
16
+ * Verilog RTL
17
+ * Need [rggen-verilog](https://github.com/rggen/rggen-verilog) plugin
18
+ * UVM register model (UVM RAL)
17
19
  * Register map documents written in Markdown
18
20
  * Register map specifications can be written in human readable format
19
- * Supported formats are listed below:
20
- * Ruby with APIs to describe register map information
21
- * YAML
22
- * JSON
23
- * Spreadsheet (XLSX, XLS, OSD, CSV)
21
+ * Ruby with APIs to describe register map information
22
+ * YAML
23
+ * JSON
24
+ * Spreadsheet (XLSX, XLS, OSD, CSV)
25
+ * [SiFive DUH](https://github.com/sifive/duh)
26
+ * Need [rggen-duh](https://github.com/rggen/rggen-duh) plugin
24
27
  * Costomize RgGen for you environment
25
28
  * E.g. add special bit field types
26
29
 
@@ -77,7 +80,7 @@ Following EDA tools can accept the generated source files.
77
80
  * Cadence Xcelium
78
81
  * Xilinx Vivado Simulator
79
82
  * Confirmed RTL only
80
- * Not sure if RAL models are accepted
83
+ * Not sure if UVM register models are accepted
81
84
  * Verilator
82
85
  * Need `-Wno-fatal` switch
83
86
  * Synthesis tools
@@ -101,17 +104,15 @@ By using these example files, you can try to use RgGen. Hit command below:
101
104
  $ rggen -c config.yml -o out block_0.yml block_1.yml
102
105
  ```
103
106
 
104
- * `-c`
105
- * Specify path to your configuration file
106
- * `-o`
107
- * Specify path to the directory where generated files will be written to
107
+ * `-c`: Specify path to your configuration file
108
+ * `-o`: Specify path to the directory where generated files will be written to
108
109
 
109
110
  Then, generated files listed below will be written to `out` directory.
110
111
 
111
112
  * SystemVerilog RTL
112
113
  * https://github.com/rggen/rggen-sample/blob/master/block_0.sv
113
114
  * https://github.com/rggen/rggen-sample/blob/master/block_1.sv
114
- * UVM RAL model
115
+ * UVM register model
115
116
  * https://github.com/rggen/rggen-sample/blob/master/block_0_ral_pkg.sv
116
117
  * https://github.com/rggen/rggen-sample/blob/master/block_1_ral_pkg.sv
117
118
  * Markdown document
@@ -134,7 +135,7 @@ Feedbacks, bug reports, questions and etc. are wellcome! You can post them by us
134
135
  * https://github.com/rggen/rggen-systemverilog
135
136
  * https://github.com/rggen/rggen-markdown
136
137
  * https://github.com/rggen/rggen-spreadsheet-loader
137
-
138
+ * https://github.com/rggen/rggen-duh
138
139
 
139
140
  ## Copyright & License
140
141
 
@@ -1,4 +1,3 @@
1
1
  # frozen_string_literal: true
2
2
 
3
3
  require_relative 'rggen/version'
4
- require_relative 'rggen/default_setup_file'
@@ -0,0 +1,7 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.load_plugin 'rggen-default-register-map'
4
+ RgGen.load_plugin 'rggen-systemverilog/rtl'
5
+ RgGen.load_plugin 'rggen-systemverilog/ral'
6
+ RgGen.load_plugin 'rggen-markdown'
7
+ RgGen.load_plugin 'rggen-spreadsheet-loader'
@@ -1,5 +1,5 @@
1
1
  # frozen_string_literal: true
2
2
 
3
3
  module RgGen
4
- VERSION = '0.20.0'
4
+ VERSION = '0.23.1'
5
5
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: rggen
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.20.0
4
+ version: 0.23.1
5
5
  platform: ruby
6
6
  authors:
7
7
  - Taichi Ishitani
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2020-07-06 00:00:00.000000000 Z
11
+ date: 2020-10-24 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: rggen-core
@@ -16,70 +16,70 @@ dependencies:
16
16
  requirements:
17
17
  - - "~>"
18
18
  - !ruby/object:Gem::Version
19
- version: 0.20.0
19
+ version: 0.23.1
20
20
  type: :runtime
21
21
  prerelease: false
22
22
  version_requirements: !ruby/object:Gem::Requirement
23
23
  requirements:
24
24
  - - "~>"
25
25
  - !ruby/object:Gem::Version
26
- version: 0.20.0
26
+ version: 0.23.1
27
27
  - !ruby/object:Gem::Dependency
28
28
  name: rggen-default-register-map
29
29
  requirement: !ruby/object:Gem::Requirement
30
30
  requirements:
31
31
  - - "~>"
32
32
  - !ruby/object:Gem::Version
33
- version: 0.20.0
33
+ version: 0.23.0
34
34
  type: :runtime
35
35
  prerelease: false
36
36
  version_requirements: !ruby/object:Gem::Requirement
37
37
  requirements:
38
38
  - - "~>"
39
39
  - !ruby/object:Gem::Version
40
- version: 0.20.0
40
+ version: 0.23.0
41
41
  - !ruby/object:Gem::Dependency
42
42
  name: rggen-markdown
43
43
  requirement: !ruby/object:Gem::Requirement
44
44
  requirements:
45
45
  - - "~>"
46
46
  - !ruby/object:Gem::Version
47
- version: 0.17.0
47
+ version: 0.19.0
48
48
  type: :runtime
49
49
  prerelease: false
50
50
  version_requirements: !ruby/object:Gem::Requirement
51
51
  requirements:
52
52
  - - "~>"
53
53
  - !ruby/object:Gem::Version
54
- version: 0.17.0
54
+ version: 0.19.0
55
55
  - !ruby/object:Gem::Dependency
56
56
  name: rggen-spreadsheet-loader
57
57
  requirement: !ruby/object:Gem::Requirement
58
58
  requirements:
59
59
  - - "~>"
60
60
  - !ruby/object:Gem::Version
61
- version: 0.16.0
61
+ version: 0.18.0
62
62
  type: :runtime
63
63
  prerelease: false
64
64
  version_requirements: !ruby/object:Gem::Requirement
65
65
  requirements:
66
66
  - - "~>"
67
67
  - !ruby/object:Gem::Version
68
- version: 0.16.0
68
+ version: 0.18.0
69
69
  - !ruby/object:Gem::Dependency
70
70
  name: rggen-systemverilog
71
71
  requirement: !ruby/object:Gem::Requirement
72
72
  requirements:
73
73
  - - "~>"
74
74
  - !ruby/object:Gem::Version
75
- version: 0.20.0
75
+ version: 0.23.1
76
76
  type: :runtime
77
77
  prerelease: false
78
78
  version_requirements: !ruby/object:Gem::Requirement
79
79
  requirements:
80
80
  - - "~>"
81
81
  - !ruby/object:Gem::Version
82
- version: 0.20.0
82
+ version: 0.23.1
83
83
  - !ruby/object:Gem::Dependency
84
84
  name: bundler
85
85
  requirement: !ruby/object:Gem::Requirement
@@ -108,8 +108,7 @@ files:
108
108
  - LICENSE
109
109
  - README.md
110
110
  - lib/rggen.rb
111
- - lib/rggen/default.rb
112
- - lib/rggen/default_setup_file.rb
111
+ - lib/rggen/setup.rb
113
112
  - lib/rggen/version.rb
114
113
  homepage: https://github.com/rggen/rggen
115
114
  licenses:
@@ -1,7 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- require 'rggen/default_register_map/setup'
4
- require 'rggen/systemverilog/rtl/setup'
5
- require 'rggen/systemverilog/ral/setup'
6
- require 'rggen/markdown/setup'
7
- require 'rggen/spreadsheet_loader/setup'
@@ -1,5 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- module RgGen
4
- DEFAULT_SETUP_FILE = File.join(__dir__, 'default.rb').freeze
5
- end