pygments.rb 1.2.1 → 2.0.0.rc2

Sign up to get free protection for your applications and to get access to all the features.
Files changed (378) hide show
  1. checksums.yaml +5 -5
  2. data/.github/dependabot.yml +13 -0
  3. data/.github/workflows/ci.yml +28 -0
  4. data/.github/workflows/release.yml +24 -0
  5. data/.gitignore +5 -6
  6. data/CHANGELOG.adoc +119 -0
  7. data/Gemfile +3 -1
  8. data/LICENSE +1 -1
  9. data/README.adoc +161 -0
  10. data/Rakefile +10 -21
  11. data/bench.rb +8 -7
  12. data/cache-lexers.rb +3 -2
  13. data/lib/pygments.rb +10 -11
  14. data/lib/pygments/lexer.rb +5 -5
  15. data/lib/pygments/mentos.py +23 -66
  16. data/lib/pygments/popen.rb +152 -204
  17. data/lib/pygments/version.rb +2 -2
  18. data/pygments.rb.gemspec +11 -9
  19. data/test/test_pygments.rb +51 -84
  20. data/vendor/pygments-main/{AUTHORS → Pygments-2.7.3.dist-info/AUTHORS} +21 -3
  21. data/vendor/pygments-main/Pygments-2.7.3.dist-info/INSTALLER +1 -0
  22. data/vendor/pygments-main/{LICENSE → Pygments-2.7.3.dist-info/LICENSE} +1 -1
  23. data/vendor/pygments-main/Pygments-2.7.3.dist-info/METADATA +49 -0
  24. data/vendor/pygments-main/Pygments-2.7.3.dist-info/RECORD +482 -0
  25. data/vendor/pygments-main/Pygments-2.7.3.dist-info/REQUESTED +0 -0
  26. data/vendor/pygments-main/Pygments-2.7.3.dist-info/WHEEL +5 -0
  27. data/vendor/pygments-main/Pygments-2.7.3.dist-info/entry_points.txt +3 -0
  28. data/vendor/pygments-main/Pygments-2.7.3.dist-info/top_level.txt +1 -0
  29. data/vendor/pygments-main/bin/pygmentize +8 -0
  30. data/vendor/pygments-main/pygments/__init__.py +6 -11
  31. data/vendor/pygments-main/pygments/__main__.py +18 -0
  32. data/vendor/pygments-main/pygments/cmdline.py +38 -29
  33. data/vendor/pygments-main/pygments/console.py +6 -9
  34. data/vendor/pygments-main/pygments/filter.py +4 -6
  35. data/vendor/pygments-main/pygments/filters/__init__.py +609 -21
  36. data/vendor/pygments-main/pygments/formatter.py +4 -4
  37. data/vendor/pygments-main/pygments/formatters/__init__.py +9 -8
  38. data/vendor/pygments-main/pygments/formatters/_mapping.py +1 -3
  39. data/vendor/pygments-main/pygments/formatters/bbcode.py +1 -1
  40. data/vendor/pygments-main/pygments/formatters/html.py +223 -135
  41. data/vendor/pygments-main/pygments/formatters/img.py +68 -41
  42. data/vendor/pygments-main/pygments/formatters/irc.py +39 -39
  43. data/vendor/pygments-main/pygments/formatters/latex.py +56 -26
  44. data/vendor/pygments-main/pygments/formatters/other.py +12 -8
  45. data/vendor/pygments-main/pygments/formatters/rtf.py +29 -29
  46. data/vendor/pygments-main/pygments/formatters/svg.py +38 -4
  47. data/vendor/pygments-main/pygments/formatters/terminal.py +25 -31
  48. data/vendor/pygments-main/pygments/formatters/terminal256.py +22 -12
  49. data/vendor/pygments-main/pygments/lexer.py +41 -39
  50. data/vendor/pygments-main/pygments/lexers/__init__.py +342 -0
  51. data/vendor/pygments-main/pygments/lexers/_asy_builtins.py +1645 -0
  52. data/vendor/pygments-main/pygments/lexers/_cl_builtins.py +232 -0
  53. data/vendor/pygments-main/pygments/lexers/_cocoa_builtins.py +71 -0
  54. data/vendor/pygments-main/pygments/lexers/_csound_builtins.py +1725 -0
  55. data/vendor/pygments-main/pygments/lexers/_lasso_builtins.py +5327 -0
  56. data/vendor/pygments-main/pygments/lexers/_lua_builtins.py +293 -0
  57. data/vendor/pygments-main/pygments/lexers/_mapping.py +551 -0
  58. data/vendor/pygments-main/pygments/lexers/_mql_builtins.py +1172 -0
  59. data/vendor/pygments-main/pygments/lexers/_mysql_builtins.py +1282 -0
  60. data/vendor/pygments-main/pygments/lexers/_openedge_builtins.py +2547 -0
  61. data/vendor/pygments-main/pygments/lexers/_php_builtins.py +4753 -0
  62. data/vendor/pygments-main/pygments/lexers/_postgres_builtins.py +678 -0
  63. data/vendor/pygments-main/pygments/lexers/_scilab_builtins.py +3094 -0
  64. data/vendor/pygments-main/pygments/lexers/_sourcemod_builtins.py +1161 -0
  65. data/vendor/pygments-main/pygments/lexers/_stan_builtins.py +558 -0
  66. data/vendor/pygments-main/pygments/lexers/_stata_builtins.py +421 -0
  67. data/vendor/pygments-main/pygments/lexers/_tsql_builtins.py +1004 -0
  68. data/vendor/pygments-main/pygments/lexers/_usd_builtins.py +113 -0
  69. data/vendor/pygments-main/pygments/lexers/_vbscript_builtins.py +280 -0
  70. data/vendor/pygments-main/pygments/lexers/_vim_builtins.py +1939 -0
  71. data/vendor/pygments-main/pygments/lexers/actionscript.py +245 -0
  72. data/vendor/pygments-main/pygments/lexers/agile.py +24 -0
  73. data/vendor/pygments-main/pygments/lexers/algebra.py +240 -0
  74. data/vendor/pygments-main/pygments/lexers/ambient.py +76 -0
  75. data/vendor/pygments-main/pygments/lexers/ampl.py +87 -0
  76. data/vendor/pygments-main/pygments/lexers/apl.py +101 -0
  77. data/vendor/pygments-main/pygments/lexers/archetype.py +318 -0
  78. data/vendor/pygments-main/pygments/lexers/arrow.py +117 -0
  79. data/vendor/pygments-main/pygments/lexers/asm.py +1005 -0
  80. data/vendor/pygments-main/pygments/lexers/automation.py +374 -0
  81. data/vendor/pygments-main/pygments/lexers/bare.py +104 -0
  82. data/vendor/pygments-main/pygments/lexers/basic.py +662 -0
  83. data/vendor/pygments-main/pygments/lexers/bibtex.py +160 -0
  84. data/vendor/pygments-main/pygments/lexers/boa.py +102 -0
  85. data/vendor/pygments-main/pygments/lexers/business.py +627 -0
  86. data/vendor/pygments-main/pygments/lexers/c_cpp.py +344 -0
  87. data/vendor/pygments-main/pygments/lexers/c_like.py +566 -0
  88. data/vendor/pygments-main/pygments/lexers/capnproto.py +78 -0
  89. data/vendor/pygments-main/pygments/lexers/chapel.py +112 -0
  90. data/vendor/pygments-main/pygments/lexers/clean.py +179 -0
  91. data/vendor/pygments-main/pygments/lexers/compiled.py +34 -0
  92. data/vendor/pygments-main/pygments/lexers/configs.py +984 -0
  93. data/vendor/pygments-main/pygments/lexers/console.py +114 -0
  94. data/vendor/pygments-main/pygments/lexers/crystal.py +392 -0
  95. data/vendor/pygments-main/pygments/lexers/csound.py +467 -0
  96. data/vendor/pygments-main/pygments/lexers/css.py +691 -0
  97. data/vendor/pygments-main/pygments/lexers/d.py +256 -0
  98. data/vendor/pygments-main/pygments/lexers/dalvik.py +125 -0
  99. data/vendor/pygments-main/pygments/lexers/data.py +698 -0
  100. data/vendor/pygments-main/pygments/lexers/devicetree.py +109 -0
  101. data/vendor/pygments-main/pygments/lexers/diff.py +165 -0
  102. data/vendor/pygments-main/pygments/lexers/dotnet.py +707 -0
  103. data/vendor/pygments-main/pygments/lexers/dsls.py +960 -0
  104. data/vendor/pygments-main/pygments/lexers/dylan.py +287 -0
  105. data/vendor/pygments-main/pygments/lexers/ecl.py +139 -0
  106. data/vendor/pygments-main/pygments/lexers/eiffel.py +65 -0
  107. data/vendor/pygments-main/pygments/lexers/elm.py +121 -0
  108. data/vendor/pygments-main/pygments/lexers/email.py +151 -0
  109. data/vendor/pygments-main/pygments/lexers/erlang.py +530 -0
  110. data/vendor/pygments-main/pygments/lexers/esoteric.py +304 -0
  111. data/vendor/pygments-main/pygments/lexers/ezhil.py +77 -0
  112. data/vendor/pygments-main/pygments/lexers/factor.py +344 -0
  113. data/vendor/pygments-main/pygments/lexers/fantom.py +250 -0
  114. data/vendor/pygments-main/pygments/lexers/felix.py +273 -0
  115. data/vendor/pygments-main/pygments/lexers/floscript.py +83 -0
  116. data/vendor/pygments-main/pygments/lexers/forth.py +178 -0
  117. data/vendor/pygments-main/pygments/lexers/fortran.py +206 -0
  118. data/vendor/pygments-main/pygments/lexers/foxpro.py +428 -0
  119. data/vendor/pygments-main/pygments/lexers/freefem.py +898 -0
  120. data/vendor/pygments-main/pygments/lexers/functional.py +21 -0
  121. data/vendor/pygments-main/pygments/lexers/gdscript.py +346 -0
  122. data/vendor/pygments-main/pygments/lexers/go.py +101 -0
  123. data/vendor/pygments-main/pygments/lexers/grammar_notation.py +270 -0
  124. data/vendor/pygments-main/pygments/lexers/graph.py +85 -0
  125. data/vendor/pygments-main/pygments/lexers/graphics.py +800 -0
  126. data/vendor/pygments-main/pygments/lexers/haskell.py +870 -0
  127. data/vendor/pygments-main/pygments/lexers/haxe.py +936 -0
  128. data/vendor/pygments-main/pygments/lexers/hdl.py +472 -0
  129. data/vendor/pygments-main/pygments/lexers/hexdump.py +103 -0
  130. data/vendor/pygments-main/pygments/lexers/html.py +614 -0
  131. data/vendor/pygments-main/pygments/lexers/idl.py +281 -0
  132. data/vendor/pygments-main/pygments/lexers/igor.py +420 -0
  133. data/vendor/pygments-main/pygments/lexers/inferno.py +96 -0
  134. data/vendor/pygments-main/pygments/lexers/installers.py +322 -0
  135. data/vendor/pygments-main/pygments/lexers/int_fiction.py +1368 -0
  136. data/vendor/pygments-main/pygments/lexers/iolang.py +63 -0
  137. data/vendor/pygments-main/pygments/lexers/j.py +146 -0
  138. data/vendor/pygments-main/pygments/lexers/javascript.py +1540 -0
  139. data/vendor/pygments-main/pygments/lexers/julia.py +331 -0
  140. data/vendor/pygments-main/pygments/lexers/jvm.py +1673 -0
  141. data/vendor/pygments-main/pygments/lexers/lisp.py +2699 -0
  142. data/vendor/pygments-main/pygments/lexers/make.py +206 -0
  143. data/vendor/pygments-main/pygments/lexers/markup.py +765 -0
  144. data/vendor/pygments-main/pygments/lexers/math.py +21 -0
  145. data/vendor/pygments-main/pygments/lexers/matlab.py +720 -0
  146. data/vendor/pygments-main/pygments/lexers/mime.py +226 -0
  147. data/vendor/pygments-main/pygments/lexers/ml.py +958 -0
  148. data/vendor/pygments-main/pygments/lexers/modeling.py +366 -0
  149. data/vendor/pygments-main/pygments/lexers/modula2.py +1580 -0
  150. data/vendor/pygments-main/pygments/lexers/monte.py +204 -0
  151. data/vendor/pygments-main/pygments/lexers/mosel.py +448 -0
  152. data/vendor/pygments-main/pygments/lexers/ncl.py +894 -0
  153. data/vendor/pygments-main/pygments/lexers/nimrod.py +159 -0
  154. data/vendor/pygments-main/pygments/lexers/nit.py +64 -0
  155. data/vendor/pygments-main/pygments/lexers/nix.py +136 -0
  156. data/vendor/pygments-main/pygments/lexers/oberon.py +121 -0
  157. data/vendor/pygments-main/pygments/lexers/objective.py +504 -0
  158. data/vendor/pygments-main/pygments/lexers/ooc.py +85 -0
  159. data/vendor/pygments-main/pygments/lexers/other.py +41 -0
  160. data/vendor/pygments-main/pygments/lexers/parasail.py +79 -0
  161. data/vendor/pygments-main/pygments/lexers/parsers.py +800 -0
  162. data/vendor/pygments-main/pygments/lexers/pascal.py +644 -0
  163. data/vendor/pygments-main/pygments/lexers/pawn.py +205 -0
  164. data/vendor/pygments-main/pygments/lexers/perl.py +732 -0
  165. data/vendor/pygments-main/pygments/lexers/php.py +321 -0
  166. data/vendor/pygments-main/pygments/lexers/pointless.py +71 -0
  167. data/vendor/pygments-main/pygments/lexers/pony.py +94 -0
  168. data/vendor/pygments-main/pygments/lexers/praat.py +302 -0
  169. data/vendor/pygments-main/pygments/lexers/prolog.py +306 -0
  170. data/vendor/pygments-main/pygments/lexers/promql.py +183 -0
  171. data/vendor/pygments-main/pygments/lexers/python.py +1151 -0
  172. data/vendor/pygments-main/pygments/lexers/qvt.py +152 -0
  173. data/vendor/pygments-main/pygments/lexers/r.py +191 -0
  174. data/vendor/pygments-main/pygments/lexers/rdf.py +463 -0
  175. data/vendor/pygments-main/pygments/lexers/rebol.py +431 -0
  176. data/vendor/pygments-main/pygments/lexers/resource.py +85 -0
  177. data/vendor/pygments-main/pygments/lexers/ride.py +139 -0
  178. data/vendor/pygments-main/pygments/lexers/rnc.py +67 -0
  179. data/vendor/pygments-main/pygments/lexers/roboconf.py +82 -0
  180. data/vendor/pygments-main/pygments/lexers/robotframework.py +552 -0
  181. data/vendor/pygments-main/pygments/lexers/ruby.py +517 -0
  182. data/vendor/pygments-main/pygments/lexers/rust.py +224 -0
  183. data/vendor/pygments-main/pygments/lexers/sas.py +228 -0
  184. data/vendor/pygments-main/pygments/lexers/scdoc.py +83 -0
  185. data/vendor/pygments-main/pygments/lexers/scripting.py +1284 -0
  186. data/vendor/pygments-main/pygments/lexers/sgf.py +61 -0
  187. data/vendor/pygments-main/pygments/lexers/shell.py +914 -0
  188. data/vendor/pygments-main/pygments/lexers/sieve.py +69 -0
  189. data/vendor/pygments-main/pygments/lexers/slash.py +185 -0
  190. data/vendor/pygments-main/pygments/lexers/smalltalk.py +195 -0
  191. data/vendor/pygments-main/pygments/lexers/smv.py +79 -0
  192. data/vendor/pygments-main/pygments/lexers/snobol.py +83 -0
  193. data/vendor/pygments-main/pygments/lexers/solidity.py +92 -0
  194. data/vendor/pygments-main/pygments/lexers/special.py +105 -0
  195. data/vendor/pygments-main/pygments/lexers/sql.py +837 -0
  196. data/vendor/pygments-main/pygments/lexers/stata.py +171 -0
  197. data/vendor/pygments-main/pygments/lexers/supercollider.py +95 -0
  198. data/vendor/pygments-main/pygments/lexers/tcl.py +145 -0
  199. data/vendor/pygments-main/pygments/lexers/templates.py +2264 -0
  200. data/vendor/pygments-main/pygments/lexers/teraterm.py +335 -0
  201. data/vendor/pygments-main/pygments/lexers/testing.py +207 -0
  202. data/vendor/pygments-main/pygments/lexers/text.py +26 -0
  203. data/vendor/pygments-main/pygments/lexers/textedit.py +169 -0
  204. data/vendor/pygments-main/pygments/lexers/textfmts.py +430 -0
  205. data/vendor/pygments-main/pygments/lexers/theorem.py +474 -0
  206. data/vendor/pygments-main/pygments/lexers/tnt.py +263 -0
  207. data/vendor/pygments-main/pygments/lexers/trafficscript.py +54 -0
  208. data/vendor/pygments-main/pygments/lexers/typoscript.py +219 -0
  209. data/vendor/pygments-main/pygments/lexers/unicon.py +412 -0
  210. data/vendor/pygments-main/pygments/lexers/urbi.py +146 -0
  211. data/vendor/pygments-main/pygments/lexers/usd.py +90 -0
  212. data/vendor/pygments-main/pygments/lexers/varnish.py +190 -0
  213. data/vendor/pygments-main/pygments/lexers/verification.py +114 -0
  214. data/vendor/pygments-main/pygments/lexers/web.py +24 -0
  215. data/vendor/pygments-main/pygments/lexers/webidl.py +299 -0
  216. data/vendor/pygments-main/pygments/lexers/webmisc.py +991 -0
  217. data/vendor/pygments-main/pygments/lexers/whiley.py +116 -0
  218. data/vendor/pygments-main/pygments/lexers/x10.py +69 -0
  219. data/vendor/pygments-main/pygments/lexers/xorg.py +37 -0
  220. data/vendor/pygments-main/pygments/lexers/yang.py +104 -0
  221. data/vendor/pygments-main/pygments/lexers/zig.py +124 -0
  222. data/vendor/pygments-main/pygments/modeline.py +1 -1
  223. data/vendor/pygments-main/pygments/plugin.py +4 -2
  224. data/vendor/pygments-main/pygments/regexopt.py +1 -1
  225. data/vendor/pygments-main/pygments/scanner.py +2 -2
  226. data/vendor/pygments-main/pygments/sphinxext.py +2 -4
  227. data/vendor/pygments-main/pygments/style.py +61 -24
  228. data/vendor/pygments-main/pygments/styles/__init__.py +10 -4
  229. data/vendor/pygments-main/pygments/styles/abap.py +1 -1
  230. data/vendor/pygments-main/pygments/styles/algol.py +1 -1
  231. data/vendor/pygments-main/pygments/styles/algol_nu.py +1 -1
  232. data/vendor/pygments-main/pygments/styles/arduino.py +2 -2
  233. data/vendor/pygments-main/pygments/styles/autumn.py +1 -1
  234. data/vendor/pygments-main/pygments/styles/borland.py +1 -1
  235. data/vendor/pygments-main/pygments/styles/bw.py +1 -1
  236. data/vendor/pygments-main/pygments/styles/colorful.py +1 -1
  237. data/vendor/pygments-main/pygments/styles/default.py +1 -1
  238. data/vendor/pygments-main/pygments/styles/emacs.py +1 -1
  239. data/vendor/pygments-main/pygments/styles/friendly.py +1 -1
  240. data/vendor/pygments-main/pygments/styles/fruity.py +1 -1
  241. data/vendor/pygments-main/pygments/styles/igor.py +1 -1
  242. data/vendor/pygments-main/pygments/styles/inkpot.py +67 -0
  243. data/vendor/pygments-main/pygments/styles/lovelace.py +1 -1
  244. data/vendor/pygments-main/pygments/styles/manni.py +1 -1
  245. data/vendor/pygments-main/pygments/styles/monokai.py +4 -3
  246. data/vendor/pygments-main/pygments/styles/murphy.py +1 -1
  247. data/vendor/pygments-main/pygments/styles/native.py +1 -1
  248. data/vendor/pygments-main/pygments/styles/paraiso_dark.py +1 -1
  249. data/vendor/pygments-main/pygments/styles/paraiso_light.py +1 -1
  250. data/vendor/pygments-main/pygments/styles/pastie.py +1 -1
  251. data/vendor/pygments-main/pygments/styles/perldoc.py +1 -1
  252. data/vendor/pygments-main/pygments/styles/rainbow_dash.py +1 -1
  253. data/vendor/pygments-main/pygments/styles/rrt.py +1 -1
  254. data/vendor/pygments-main/pygments/styles/sas.py +1 -1
  255. data/vendor/pygments-main/pygments/styles/solarized.py +134 -0
  256. data/vendor/pygments-main/pygments/styles/stata_dark.py +41 -0
  257. data/vendor/pygments-main/pygments/styles/{stata.py → stata_light.py} +14 -15
  258. data/vendor/pygments-main/pygments/styles/tango.py +1 -1
  259. data/vendor/pygments-main/pygments/styles/trac.py +1 -1
  260. data/vendor/pygments-main/pygments/styles/vim.py +1 -1
  261. data/vendor/pygments-main/pygments/styles/vs.py +1 -1
  262. data/vendor/pygments-main/pygments/styles/xcode.py +1 -1
  263. data/vendor/pygments-main/pygments/token.py +1 -1
  264. data/vendor/pygments-main/pygments/unistring.py +47 -108
  265. data/vendor/pygments-main/pygments/util.py +15 -92
  266. metadata +69 -136
  267. data/CHANGELOG.md +0 -111
  268. data/README.md +0 -121
  269. data/circle.yml +0 -20
  270. data/test/test_data.py +0 -514
  271. data/test/test_data_generated +0 -2582
  272. data/vendor/custom_lexers/github.py +0 -565
  273. data/vendor/pygments-main/CHANGES +0 -1186
  274. data/vendor/pygments-main/MANIFEST.in +0 -6
  275. data/vendor/pygments-main/Makefile +0 -65
  276. data/vendor/pygments-main/README.rst +0 -39
  277. data/vendor/pygments-main/REVISION +0 -1
  278. data/vendor/pygments-main/TODO +0 -12
  279. data/vendor/pygments-main/doc/Makefile +0 -153
  280. data/vendor/pygments-main/doc/_static/favicon.ico +0 -0
  281. data/vendor/pygments-main/doc/_static/logo_new.png +0 -0
  282. data/vendor/pygments-main/doc/_static/logo_only.png +0 -0
  283. data/vendor/pygments-main/doc/_templates/docssidebar.html +0 -3
  284. data/vendor/pygments-main/doc/_templates/indexsidebar.html +0 -25
  285. data/vendor/pygments-main/doc/_themes/pygments14/layout.html +0 -98
  286. data/vendor/pygments-main/doc/_themes/pygments14/static/bodybg.png +0 -0
  287. data/vendor/pygments-main/doc/_themes/pygments14/static/docbg.png +0 -0
  288. data/vendor/pygments-main/doc/_themes/pygments14/static/listitem.png +0 -0
  289. data/vendor/pygments-main/doc/_themes/pygments14/static/logo.png +0 -0
  290. data/vendor/pygments-main/doc/_themes/pygments14/static/pocoo.png +0 -0
  291. data/vendor/pygments-main/doc/_themes/pygments14/static/pygments14.css_t +0 -401
  292. data/vendor/pygments-main/doc/_themes/pygments14/theme.conf +0 -15
  293. data/vendor/pygments-main/doc/conf.py +0 -241
  294. data/vendor/pygments-main/doc/docs/api.rst +0 -354
  295. data/vendor/pygments-main/doc/docs/authors.rst +0 -4
  296. data/vendor/pygments-main/doc/docs/changelog.rst +0 -1
  297. data/vendor/pygments-main/doc/docs/cmdline.rst +0 -166
  298. data/vendor/pygments-main/doc/docs/filterdevelopment.rst +0 -71
  299. data/vendor/pygments-main/doc/docs/filters.rst +0 -41
  300. data/vendor/pygments-main/doc/docs/formatterdevelopment.rst +0 -169
  301. data/vendor/pygments-main/doc/docs/formatters.rst +0 -48
  302. data/vendor/pygments-main/doc/docs/index.rst +0 -66
  303. data/vendor/pygments-main/doc/docs/integrate.rst +0 -40
  304. data/vendor/pygments-main/doc/docs/java.rst +0 -70
  305. data/vendor/pygments-main/doc/docs/lexerdevelopment.rst +0 -728
  306. data/vendor/pygments-main/doc/docs/lexers.rst +0 -69
  307. data/vendor/pygments-main/doc/docs/moinmoin.rst +0 -39
  308. data/vendor/pygments-main/doc/docs/plugins.rst +0 -93
  309. data/vendor/pygments-main/doc/docs/quickstart.rst +0 -205
  310. data/vendor/pygments-main/doc/docs/rstdirective.rst +0 -22
  311. data/vendor/pygments-main/doc/docs/styles.rst +0 -201
  312. data/vendor/pygments-main/doc/docs/tokens.rst +0 -372
  313. data/vendor/pygments-main/doc/docs/unicode.rst +0 -58
  314. data/vendor/pygments-main/doc/download.rst +0 -41
  315. data/vendor/pygments-main/doc/faq.rst +0 -139
  316. data/vendor/pygments-main/doc/index.rst +0 -54
  317. data/vendor/pygments-main/doc/languages.rst +0 -154
  318. data/vendor/pygments-main/doc/make.bat +0 -190
  319. data/vendor/pygments-main/doc/pygmentize.1 +0 -94
  320. data/vendor/pygments-main/external/autopygmentize +0 -101
  321. data/vendor/pygments-main/external/lasso-builtins-generator-9.lasso +0 -162
  322. data/vendor/pygments-main/external/markdown-processor.py +0 -67
  323. data/vendor/pygments-main/external/moin-parser.py +0 -112
  324. data/vendor/pygments-main/external/pygments.bashcomp +0 -38
  325. data/vendor/pygments-main/external/rst-directive.py +0 -82
  326. data/vendor/pygments-main/pygmentize +0 -8
  327. data/vendor/pygments-main/requirements.txt +0 -5
  328. data/vendor/pygments-main/scripts/check_sources.py +0 -211
  329. data/vendor/pygments-main/scripts/debug_lexer.py +0 -246
  330. data/vendor/pygments-main/scripts/detect_missing_analyse_text.py +0 -33
  331. data/vendor/pygments-main/scripts/epydoc.css +0 -280
  332. data/vendor/pygments-main/scripts/get_vimkw.py +0 -74
  333. data/vendor/pygments-main/scripts/pylintrc +0 -301
  334. data/vendor/pygments-main/scripts/vim2pygments.py +0 -935
  335. data/vendor/pygments-main/setup.cfg +0 -10
  336. data/vendor/pygments-main/setup.py +0 -77
  337. data/vendor/pygments-main/tox.ini +0 -7
  338. data/vendor/simplejson/.gitignore +0 -10
  339. data/vendor/simplejson/.travis.yml +0 -5
  340. data/vendor/simplejson/CHANGES.txt +0 -291
  341. data/vendor/simplejson/LICENSE.txt +0 -19
  342. data/vendor/simplejson/MANIFEST.in +0 -5
  343. data/vendor/simplejson/README.rst +0 -19
  344. data/vendor/simplejson/conf.py +0 -179
  345. data/vendor/simplejson/index.rst +0 -628
  346. data/vendor/simplejson/scripts/make_docs.py +0 -18
  347. data/vendor/simplejson/setup.py +0 -104
  348. data/vendor/simplejson/simplejson/__init__.py +0 -510
  349. data/vendor/simplejson/simplejson/_speedups.c +0 -2745
  350. data/vendor/simplejson/simplejson/decoder.py +0 -425
  351. data/vendor/simplejson/simplejson/encoder.py +0 -567
  352. data/vendor/simplejson/simplejson/ordered_dict.py +0 -119
  353. data/vendor/simplejson/simplejson/scanner.py +0 -77
  354. data/vendor/simplejson/simplejson/tests/__init__.py +0 -67
  355. data/vendor/simplejson/simplejson/tests/test_bigint_as_string.py +0 -55
  356. data/vendor/simplejson/simplejson/tests/test_check_circular.py +0 -30
  357. data/vendor/simplejson/simplejson/tests/test_decimal.py +0 -66
  358. data/vendor/simplejson/simplejson/tests/test_decode.py +0 -83
  359. data/vendor/simplejson/simplejson/tests/test_default.py +0 -9
  360. data/vendor/simplejson/simplejson/tests/test_dump.py +0 -67
  361. data/vendor/simplejson/simplejson/tests/test_encode_basestring_ascii.py +0 -46
  362. data/vendor/simplejson/simplejson/tests/test_encode_for_html.py +0 -32
  363. data/vendor/simplejson/simplejson/tests/test_errors.py +0 -34
  364. data/vendor/simplejson/simplejson/tests/test_fail.py +0 -91
  365. data/vendor/simplejson/simplejson/tests/test_float.py +0 -19
  366. data/vendor/simplejson/simplejson/tests/test_indent.py +0 -86
  367. data/vendor/simplejson/simplejson/tests/test_item_sort_key.py +0 -20
  368. data/vendor/simplejson/simplejson/tests/test_namedtuple.py +0 -121
  369. data/vendor/simplejson/simplejson/tests/test_pass1.py +0 -76
  370. data/vendor/simplejson/simplejson/tests/test_pass2.py +0 -14
  371. data/vendor/simplejson/simplejson/tests/test_pass3.py +0 -20
  372. data/vendor/simplejson/simplejson/tests/test_recursion.py +0 -67
  373. data/vendor/simplejson/simplejson/tests/test_scanstring.py +0 -117
  374. data/vendor/simplejson/simplejson/tests/test_separators.py +0 -42
  375. data/vendor/simplejson/simplejson/tests/test_speedups.py +0 -20
  376. data/vendor/simplejson/simplejson/tests/test_tuple.py +0 -49
  377. data/vendor/simplejson/simplejson/tests/test_unicode.py +0 -109
  378. data/vendor/simplejson/simplejson/tool.py +0 -39
@@ -0,0 +1,472 @@
1
+ # -*- coding: utf-8 -*-
2
+ """
3
+ pygments.lexers.hdl
4
+ ~~~~~~~~~~~~~~~~~~~
5
+
6
+ Lexers for hardware descriptor languages.
7
+
8
+ :copyright: Copyright 2006-2020 by the Pygments team, see AUTHORS.
9
+ :license: BSD, see LICENSE for details.
10
+ """
11
+
12
+ import re
13
+
14
+ from pygments.lexer import RegexLexer, bygroups, include, using, this, words
15
+ from pygments.token import Text, Comment, Operator, Keyword, Name, String, \
16
+ Number, Punctuation, Error
17
+
18
+ __all__ = ['VerilogLexer', 'SystemVerilogLexer', 'VhdlLexer']
19
+
20
+
21
+ class VerilogLexer(RegexLexer):
22
+ """
23
+ For verilog source code with preprocessor directives.
24
+
25
+ .. versionadded:: 1.4
26
+ """
27
+ name = 'verilog'
28
+ aliases = ['verilog', 'v']
29
+ filenames = ['*.v']
30
+ mimetypes = ['text/x-verilog']
31
+
32
+ #: optional Comment or Whitespace
33
+ _ws = r'(?:\s|//.*?\n|/[*].*?[*]/)+'
34
+
35
+ tokens = {
36
+ 'root': [
37
+ (r'^\s*`define', Comment.Preproc, 'macro'),
38
+ (r'\n', Text),
39
+ (r'\s+', Text),
40
+ (r'\\\n', Text), # line continuation
41
+ (r'/(\\\n)?/(\n|(.|\n)*?[^\\]\n)', Comment.Single),
42
+ (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline),
43
+ (r'[{}#@]', Punctuation),
44
+ (r'L?"', String, 'string'),
45
+ (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char),
46
+ (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float),
47
+ (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float),
48
+ (r'([0-9]+)|(\'h)[0-9a-fA-F]+', Number.Hex),
49
+ (r'([0-9]+)|(\'b)[01]+', Number.Bin),
50
+ (r'([0-9]+)|(\'d)[0-9]+', Number.Integer),
51
+ (r'([0-9]+)|(\'o)[0-7]+', Number.Oct),
52
+ (r'\'[01xz]', Number),
53
+ (r'\d+[Ll]?', Number.Integer),
54
+ (r'\*/', Error),
55
+ (r'[~!%^&*+=|?:<>/-]', Operator),
56
+ (r'[()\[\],.;\']', Punctuation),
57
+ (r'`[a-zA-Z_]\w*', Name.Constant),
58
+
59
+ (r'^(\s*)(package)(\s+)', bygroups(Text, Keyword.Namespace, Text)),
60
+ (r'^(\s*)(import)(\s+)', bygroups(Text, Keyword.Namespace, Text),
61
+ 'import'),
62
+
63
+ (words((
64
+ 'always', 'always_comb', 'always_ff', 'always_latch', 'and',
65
+ 'assign', 'automatic', 'begin', 'break', 'buf', 'bufif0', 'bufif1',
66
+ 'case', 'casex', 'casez', 'cmos', 'const', 'continue', 'deassign',
67
+ 'default', 'defparam', 'disable', 'do', 'edge', 'else', 'end', 'endcase',
68
+ 'endfunction', 'endgenerate', 'endmodule', 'endpackage', 'endprimitive',
69
+ 'endspecify', 'endtable', 'endtask', 'enum', 'event', 'final', 'for',
70
+ 'force', 'forever', 'fork', 'function', 'generate', 'genvar', 'highz0',
71
+ 'highz1', 'if', 'initial', 'inout', 'input', 'integer', 'join', 'large',
72
+ 'localparam', 'macromodule', 'medium', 'module', 'nand', 'negedge',
73
+ 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or', 'output', 'packed',
74
+ 'parameter', 'pmos', 'posedge', 'primitive', 'pull0', 'pull1',
75
+ 'pulldown', 'pullup', 'rcmos', 'ref', 'release', 'repeat', 'return',
76
+ 'rnmos', 'rpmos', 'rtran', 'rtranif0', 'rtranif1', 'scalared', 'signed',
77
+ 'small', 'specify', 'specparam', 'strength', 'string', 'strong0',
78
+ 'strong1', 'struct', 'table', 'task', 'tran', 'tranif0', 'tranif1',
79
+ 'type', 'typedef', 'unsigned', 'var', 'vectored', 'void', 'wait',
80
+ 'weak0', 'weak1', 'while', 'xnor', 'xor'), suffix=r'\b'),
81
+ Keyword),
82
+
83
+ (words((
84
+ 'accelerate', 'autoexpand_vectornets', 'celldefine', 'default_nettype',
85
+ 'else', 'elsif', 'endcelldefine', 'endif', 'endprotect', 'endprotected',
86
+ 'expand_vectornets', 'ifdef', 'ifndef', 'include', 'noaccelerate',
87
+ 'noexpand_vectornets', 'noremove_gatenames', 'noremove_netnames',
88
+ 'nounconnected_drive', 'protect', 'protected', 'remove_gatenames',
89
+ 'remove_netnames', 'resetall', 'timescale', 'unconnected_drive',
90
+ 'undef'), prefix=r'`', suffix=r'\b'),
91
+ Comment.Preproc),
92
+
93
+ (words((
94
+ 'bits', 'bitstoreal', 'bitstoshortreal', 'countdrivers', 'display', 'fclose',
95
+ 'fdisplay', 'finish', 'floor', 'fmonitor', 'fopen', 'fstrobe', 'fwrite',
96
+ 'getpattern', 'history', 'incsave', 'input', 'itor', 'key', 'list', 'log',
97
+ 'monitor', 'monitoroff', 'monitoron', 'nokey', 'nolog', 'printtimescale',
98
+ 'random', 'readmemb', 'readmemh', 'realtime', 'realtobits', 'reset',
99
+ 'reset_count', 'reset_value', 'restart', 'rtoi', 'save', 'scale', 'scope',
100
+ 'shortrealtobits', 'showscopes', 'showvariables', 'showvars', 'sreadmemb',
101
+ 'sreadmemh', 'stime', 'stop', 'strobe', 'time', 'timeformat', 'write'),
102
+ prefix=r'\$', suffix=r'\b'),
103
+ Name.Builtin),
104
+
105
+ (words((
106
+ 'byte', 'shortint', 'int', 'longint', 'integer', 'time',
107
+ 'bit', 'logic', 'reg', 'supply0', 'supply1', 'tri', 'triand',
108
+ 'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', 'wor'
109
+ 'shortreal', 'real', 'realtime'), suffix=r'\b'),
110
+ Keyword.Type),
111
+ (r'[a-zA-Z_]\w*:(?!:)', Name.Label),
112
+ (r'\$?[a-zA-Z_]\w*', Name),
113
+ (r'\\(\S+)', Name),
114
+ ],
115
+ 'string': [
116
+ (r'"', String, '#pop'),
117
+ (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape),
118
+ (r'[^\\"\n]+', String), # all other characters
119
+ (r'\\\n', String), # line continuation
120
+ (r'\\', String), # stray backslash
121
+ ],
122
+ 'macro': [
123
+ (r'[^/\n]+', Comment.Preproc),
124
+ (r'/[*](.|\n)*?[*]/', Comment.Multiline),
125
+ (r'//.*?\n', Comment.Single, '#pop'),
126
+ (r'/', Comment.Preproc),
127
+ (r'(?<=\\)\n', Comment.Preproc),
128
+ (r'\n', Comment.Preproc, '#pop'),
129
+ ],
130
+ 'import': [
131
+ (r'[\w:]+\*?', Name.Namespace, '#pop')
132
+ ]
133
+ }
134
+
135
+ def analyse_text(text):
136
+ """Verilog code will use one of reg/wire/assign for sure, and that
137
+ is not common elsewhere."""
138
+ result = 0
139
+ if 'reg' in text:
140
+ result += 0.1
141
+ if 'wire' in text:
142
+ result += 0.1
143
+ if 'assign' in text:
144
+ result += 0.1
145
+
146
+ return result
147
+
148
+
149
+ class SystemVerilogLexer(RegexLexer):
150
+ """
151
+ Extends verilog lexer to recognise all SystemVerilog keywords from IEEE
152
+ 1800-2009 standard.
153
+
154
+ .. versionadded:: 1.5
155
+ """
156
+ name = 'systemverilog'
157
+ aliases = ['systemverilog', 'sv']
158
+ filenames = ['*.sv', '*.svh']
159
+ mimetypes = ['text/x-systemverilog']
160
+
161
+ #: optional Comment or Whitespace
162
+ _ws = r'(?:\s|//.*?\n|/[*].*?[*]/)+'
163
+
164
+ tokens = {
165
+ 'root': [
166
+ (r'^\s*`define', Comment.Preproc, 'macro'),
167
+ (r'^(\s*)(package)(\s+)', bygroups(Text, Keyword.Namespace, Text)),
168
+ (r'^(\s*)(import)(\s+)', bygroups(Text, Keyword.Namespace, Text), 'import'),
169
+
170
+ (r'\n', Text),
171
+ (r'\s+', Text),
172
+ (r'\\\n', Text), # line continuation
173
+ (r'/(\\\n)?/(\n|(.|\n)*?[^\\]\n)', Comment.Single),
174
+ (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline),
175
+ (r'[{}#@]', Punctuation),
176
+ (r'L?"', String, 'string'),
177
+ (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char),
178
+
179
+ (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float),
180
+ (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float),
181
+
182
+ (r'([1-9][_0-9]*)?\s*\'[sS]?[bB]\s*[xXzZ?01][_xXzZ?01]*',
183
+ Number.Bin),
184
+ (r'([1-9][_0-9]*)?\s*\'[sS]?[oO]\s*[xXzZ?0-7][_xXzZ?0-7]*',
185
+ Number.Oct),
186
+ (r'([1-9][_0-9]*)?\s*\'[sS]?[dD]\s*[xXzZ?0-9][_xXzZ?0-9]*',
187
+ Number.Integer),
188
+ (r'([1-9][_0-9]*)?\s*\'[sS]?[hH]\s*[xXzZ?0-9a-fA-F][_xXzZ?0-9a-fA-F]*',
189
+ Number.Hex),
190
+
191
+ (r'\'[01xXzZ]', Number),
192
+ (r'[0-9][_0-9]*', Number.Integer),
193
+
194
+ (r'\*/', Error),
195
+
196
+ (r'[~!%^&*+=|?:<>/-]', Operator),
197
+ (words(('inside', 'dist'), suffix=r'\b'), Operator.Word),
198
+
199
+ (r'[()\[\],.;\'$]', Punctuation),
200
+ (r'`[a-zA-Z_]\w*', Name.Constant),
201
+
202
+ (words((
203
+ 'accept_on', 'alias', 'always', 'always_comb', 'always_ff',
204
+ 'always_latch', 'and', 'assert', 'assign', 'assume', 'automatic',
205
+ 'before', 'begin', 'bind', 'bins', 'binsof', 'break', 'buf',
206
+ 'bufif0', 'bufif1', 'case', 'casex', 'casez', 'cell',
207
+ 'checker', 'clocking', 'cmos', 'config',
208
+ 'constraint', 'context', 'continue', 'cover', 'covergroup',
209
+ 'coverpoint', 'cross', 'deassign', 'default', 'defparam', 'design',
210
+ 'disable', 'do', 'edge', 'else', 'end', 'endcase',
211
+ 'endchecker', 'endclocking', 'endconfig', 'endfunction',
212
+ 'endgenerate', 'endgroup', 'endinterface', 'endmodule', 'endpackage',
213
+ 'endprimitive', 'endprogram', 'endproperty', 'endsequence',
214
+ 'endspecify', 'endtable', 'endtask', 'enum', 'eventually',
215
+ 'expect', 'export', 'extern', 'final', 'first_match',
216
+ 'for', 'force', 'foreach', 'forever', 'fork', 'forkjoin', 'function',
217
+ 'generate', 'genvar', 'global', 'highz0', 'highz1', 'if', 'iff',
218
+ 'ifnone', 'ignore_bins', 'illegal_bins', 'implies', 'implements', 'import',
219
+ 'incdir', 'include', 'initial', 'inout', 'input',
220
+ 'instance', 'interconnect', 'interface', 'intersect', 'join',
221
+ 'join_any', 'join_none', 'large', 'let', 'liblist', 'library',
222
+ 'local', 'localparam', 'macromodule', 'matches',
223
+ 'medium', 'modport', 'module', 'nand', 'negedge', 'nettype', 'new', 'nexttime',
224
+ 'nmos', 'nor', 'noshowcancelled', 'not', 'notif0', 'notif1', 'null',
225
+ 'or', 'output', 'package', 'packed', 'parameter', 'pmos', 'posedge',
226
+ 'primitive', 'priority', 'program', 'property', 'protected', 'pull0',
227
+ 'pull1', 'pulldown', 'pullup', 'pulsestyle_ondetect',
228
+ 'pulsestyle_onevent', 'pure', 'rand', 'randc', 'randcase',
229
+ 'randsequence', 'rcmos', 'ref',
230
+ 'reject_on', 'release', 'repeat', 'restrict', 'return', 'rnmos',
231
+ 'rpmos', 'rtran', 'rtranif0', 'rtranif1', 's_always', 's_eventually',
232
+ 's_nexttime', 's_until', 's_until_with', 'scalared', 'sequence',
233
+ 'showcancelled', 'small', 'soft', 'solve',
234
+ 'specify', 'specparam', 'static', 'strong', 'strong0',
235
+ 'strong1', 'struct', 'super', 'sync_accept_on',
236
+ 'sync_reject_on', 'table', 'tagged', 'task', 'this', 'throughout',
237
+ 'timeprecision', 'timeunit', 'tran', 'tranif0', 'tranif1',
238
+ 'typedef', 'union', 'unique', 'unique0', 'until',
239
+ 'until_with', 'untyped', 'use', 'vectored',
240
+ 'virtual', 'wait', 'wait_order', 'weak', 'weak0',
241
+ 'weak1', 'while', 'wildcard', 'with', 'within',
242
+ 'xnor', 'xor'),
243
+ suffix=r'\b'),
244
+ Keyword),
245
+
246
+ (r'(class)(\s+)([a-zA-Z_]\w*)',
247
+ bygroups(Keyword.Declaration, Text, Name.Class)),
248
+ (r'(extends)(\s+)([a-zA-Z_]\w*)',
249
+ bygroups(Keyword.Declaration, Text, Name.Class)),
250
+ (r'(endclass\b)(?:(\s*)(:)(\s*)([a-zA-Z_]\w*))?',
251
+ bygroups(Keyword.Declaration, Text, Punctuation, Text, Name.Class)),
252
+
253
+ (words((
254
+ # Variable types
255
+ 'bit', 'byte', 'chandle', 'const', 'event', 'int', 'integer',
256
+ 'logic', 'longint', 'real', 'realtime', 'reg', 'shortint',
257
+ 'shortreal', 'signed', 'string', 'time', 'type', 'unsigned',
258
+ 'var', 'void',
259
+ # Net types
260
+ 'supply0', 'supply1', 'tri', 'triand', 'trior', 'trireg',
261
+ 'tri0', 'tri1', 'uwire', 'wand', 'wire', 'wor'),
262
+ suffix=r'\b'),
263
+ Keyword.Type),
264
+
265
+ (words((
266
+ '`__FILE__', '`__LINE__', '`begin_keywords', '`celldefine',
267
+ '`default_nettype', '`define', '`else', '`elsif', '`end_keywords',
268
+ '`endcelldefine', '`endif', '`ifdef', '`ifndef', '`include',
269
+ '`line', '`nounconnected_drive', '`pragma', '`resetall',
270
+ '`timescale', '`unconnected_drive', '`undef', '`undefineall'),
271
+ suffix=r'\b'),
272
+ Comment.Preproc),
273
+
274
+ (words((
275
+ # Simulation control tasks (20.2)
276
+ '$exit', '$finish', '$stop',
277
+ # Simulation time functions (20.3)
278
+ '$realtime', '$stime', '$time',
279
+ # Timescale tasks (20.4)
280
+ '$printtimescale', '$timeformat',
281
+ # Conversion functions
282
+ '$bitstoreal', '$bitstoshortreal', '$cast', '$itor',
283
+ '$realtobits', '$rtoi', '$shortrealtobits', '$signed',
284
+ '$unsigned',
285
+ # Data query functions (20.6)
286
+ '$bits', '$isunbounded', '$typename',
287
+ # Array query functions (20.7)
288
+ '$dimensions', '$high', '$increment', '$left', '$low', '$right',
289
+ '$size', '$unpacked_dimensions',
290
+ # Math functions (20.8)
291
+ '$acos', '$acosh', '$asin', '$asinh', '$atan', '$atan2',
292
+ '$atanh', '$ceil', '$clog2', '$cos', '$cosh', '$exp', '$floor',
293
+ '$hypot', '$ln', '$log10', '$pow', '$sin', '$sinh', '$sqrt',
294
+ '$tan', '$tanh',
295
+ # Bit vector system functions (20.9)
296
+ '$countbits', '$countones', '$isunknown', '$onehot', '$onehot0',
297
+ # Severity tasks (20.10)
298
+ '$info', '$error', '$fatal', '$warning',
299
+ # Assertion control tasks (20.12)
300
+ '$assertcontrol', '$assertfailoff', '$assertfailon',
301
+ '$assertkill', '$assertnonvacuouson', '$assertoff', '$asserton',
302
+ '$assertpassoff', '$assertpasson', '$assertvacuousoff',
303
+ # Sampled value system functions (20.13)
304
+ '$changed', '$changed_gclk', '$changing_gclk', '$falling_gclk',
305
+ '$fell', '$fell_gclk', '$future_gclk', '$past', '$past_gclk',
306
+ '$rising_gclk', '$rose', '$rose_gclk', '$sampled', '$stable',
307
+ '$stable_gclk', '$steady_gclk',
308
+ # Coverage control functions (20.14)
309
+ '$coverage_control', '$coverage_get', '$coverage_get_max',
310
+ '$coverage_merge', '$coverage_save', '$get_coverage',
311
+ '$load_coverage_db', '$set_coverage_db_name',
312
+ # Probabilistic distribution functions (20.15)
313
+ '$dist_chi_square', '$dist_erlang', '$dist_exponential',
314
+ '$dist_normal', '$dist_poisson', '$dist_t', '$dist_uniform',
315
+ '$random',
316
+ # Stochastic analysis tasks and functions (20.16)
317
+ '$q_add', '$q_exam', '$q_full', '$q_initialize', '$q_remove',
318
+ # PLA modeling tasks (20.17)
319
+ '$async$and$array', '$async$and$plane', '$async$nand$array',
320
+ '$async$nand$plane', '$async$nor$array', '$async$nor$plane',
321
+ '$async$or$array', '$async$or$plane', '$sync$and$array',
322
+ '$sync$and$plane', '$sync$nand$array', '$sync$nand$plane',
323
+ '$sync$nor$array', '$sync$nor$plane', '$sync$or$array',
324
+ '$sync$or$plane',
325
+ # Miscellaneous tasks and functions (20.18)
326
+ '$system',
327
+ # Display tasks (21.2)
328
+ '$display', '$displayb', '$displayh', '$displayo', '$monitor',
329
+ '$monitorb', '$monitorh', '$monitoro', '$monitoroff',
330
+ '$monitoron', '$strobe', '$strobeb', '$strobeh', '$strobeo',
331
+ '$write', '$writeb', '$writeh', '$writeo',
332
+ # File I/O tasks and functions (21.3)
333
+ '$fclose', '$fdisplay', '$fdisplayb', '$fdisplayh',
334
+ '$fdisplayo', '$feof', '$ferror', '$fflush', '$fgetc', '$fgets',
335
+ '$fmonitor', '$fmonitorb', '$fmonitorh', '$fmonitoro', '$fopen',
336
+ '$fread', '$fscanf', '$fseek', '$fstrobe', '$fstrobeb',
337
+ '$fstrobeh', '$fstrobeo', '$ftell', '$fwrite', '$fwriteb',
338
+ '$fwriteh', '$fwriteo', '$rewind', '$sformat', '$sformatf',
339
+ '$sscanf', '$swrite', '$swriteb', '$swriteh', '$swriteo',
340
+ '$ungetc',
341
+ # Memory load tasks (21.4)
342
+ '$readmemb', '$readmemh',
343
+ # Memory dump tasks (21.5)
344
+ '$writememb', '$writememh',
345
+ # Command line input (21.6)
346
+ '$test$plusargs', '$value$plusargs',
347
+ # VCD tasks (21.7)
348
+ '$dumpall', '$dumpfile', '$dumpflush', '$dumplimit', '$dumpoff',
349
+ '$dumpon', '$dumpports', '$dumpportsall', '$dumpportsflush',
350
+ '$dumpportslimit', '$dumpportsoff', '$dumpportson', '$dumpvars',
351
+ ), suffix=r'\b'),
352
+ Name.Builtin),
353
+
354
+ (r'[a-zA-Z_]\w*:(?!:)', Name.Label),
355
+ (r'\$?[a-zA-Z_]\w*', Name),
356
+ (r'\\(\S+)', Name),
357
+ ],
358
+ 'string': [
359
+ (r'"', String, '#pop'),
360
+ (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape),
361
+ (r'[^\\"\n]+', String), # all other characters
362
+ (r'\\\n', String), # line continuation
363
+ (r'\\', String), # stray backslash
364
+ ],
365
+ 'macro': [
366
+ (r'[^/\n]+', Comment.Preproc),
367
+ (r'/[*](.|\n)*?[*]/', Comment.Multiline),
368
+ (r'//.*?\n', Comment.Single, '#pop'),
369
+ (r'/', Comment.Preproc),
370
+ (r'(?<=\\)\n', Comment.Preproc),
371
+ (r'\n', Comment.Preproc, '#pop'),
372
+ ],
373
+ 'import': [
374
+ (r'[\w:]+\*?', Name.Namespace, '#pop')
375
+ ]
376
+ }
377
+
378
+
379
+ class VhdlLexer(RegexLexer):
380
+ """
381
+ For VHDL source code.
382
+
383
+ .. versionadded:: 1.5
384
+ """
385
+ name = 'vhdl'
386
+ aliases = ['vhdl']
387
+ filenames = ['*.vhdl', '*.vhd']
388
+ mimetypes = ['text/x-vhdl']
389
+ flags = re.MULTILINE | re.IGNORECASE
390
+
391
+ tokens = {
392
+ 'root': [
393
+ (r'\n', Text),
394
+ (r'\s+', Text),
395
+ (r'\\\n', Text), # line continuation
396
+ (r'--.*?$', Comment.Single),
397
+ (r"'(U|X|0|1|Z|W|L|H|-)'", String.Char),
398
+ (r'[~!%^&*+=|?:<>/-]', Operator),
399
+ (r"'[a-z_]\w*", Name.Attribute),
400
+ (r'[()\[\],.;\']', Punctuation),
401
+ (r'"[^\n\\"]*"', String),
402
+
403
+ (r'(library)(\s+)([a-z_]\w*)',
404
+ bygroups(Keyword, Text, Name.Namespace)),
405
+ (r'(use)(\s+)(entity)', bygroups(Keyword, Text, Keyword)),
406
+ (r'(use)(\s+)([a-z_][\w.]*\.)(all)',
407
+ bygroups(Keyword, Text, Name.Namespace, Keyword)),
408
+ (r'(use)(\s+)([a-z_][\w.]*)',
409
+ bygroups(Keyword, Text, Name.Namespace)),
410
+ (r'(std|ieee)(\.[a-z_]\w*)',
411
+ bygroups(Name.Namespace, Name.Namespace)),
412
+ (words(('std', 'ieee', 'work'), suffix=r'\b'),
413
+ Name.Namespace),
414
+ (r'(entity|component)(\s+)([a-z_]\w*)',
415
+ bygroups(Keyword, Text, Name.Class)),
416
+ (r'(architecture|configuration)(\s+)([a-z_]\w*)(\s+)'
417
+ r'(of)(\s+)([a-z_]\w*)(\s+)(is)',
418
+ bygroups(Keyword, Text, Name.Class, Text, Keyword, Text,
419
+ Name.Class, Text, Keyword)),
420
+ (r'([a-z_]\w*)(:)(\s+)(process|for)',
421
+ bygroups(Name.Class, Operator, Text, Keyword)),
422
+ (r'(end)(\s+)', bygroups(using(this), Text), 'endblock'),
423
+
424
+ include('types'),
425
+ include('keywords'),
426
+ include('numbers'),
427
+
428
+ (r'[a-z_]\w*', Name),
429
+ ],
430
+ 'endblock': [
431
+ include('keywords'),
432
+ (r'[a-z_]\w*', Name.Class),
433
+ (r'(\s+)', Text),
434
+ (r';', Punctuation, '#pop'),
435
+ ],
436
+ 'types': [
437
+ (words((
438
+ 'boolean', 'bit', 'character', 'severity_level', 'integer', 'time',
439
+ 'delay_length', 'natural', 'positive', 'string', 'bit_vector',
440
+ 'file_open_kind', 'file_open_status', 'std_ulogic', 'std_ulogic_vector',
441
+ 'std_logic', 'std_logic_vector', 'signed', 'unsigned'), suffix=r'\b'),
442
+ Keyword.Type),
443
+ ],
444
+ 'keywords': [
445
+ (words((
446
+ 'abs', 'access', 'after', 'alias', 'all', 'and',
447
+ 'architecture', 'array', 'assert', 'attribute', 'begin', 'block',
448
+ 'body', 'buffer', 'bus', 'case', 'component', 'configuration',
449
+ 'constant', 'disconnect', 'downto', 'else', 'elsif', 'end',
450
+ 'entity', 'exit', 'file', 'for', 'function', 'generate',
451
+ 'generic', 'group', 'guarded', 'if', 'impure', 'in',
452
+ 'inertial', 'inout', 'is', 'label', 'library', 'linkage',
453
+ 'literal', 'loop', 'map', 'mod', 'nand', 'new',
454
+ 'next', 'nor', 'not', 'null', 'of', 'on',
455
+ 'open', 'or', 'others', 'out', 'package', 'port',
456
+ 'postponed', 'procedure', 'process', 'pure', 'range', 'record',
457
+ 'register', 'reject', 'rem', 'return', 'rol', 'ror', 'select',
458
+ 'severity', 'signal', 'shared', 'sla', 'sll', 'sra',
459
+ 'srl', 'subtype', 'then', 'to', 'transport', 'type',
460
+ 'units', 'until', 'use', 'variable', 'wait', 'when',
461
+ 'while', 'with', 'xnor', 'xor'), suffix=r'\b'),
462
+ Keyword),
463
+ ],
464
+ 'numbers': [
465
+ (r'\d{1,2}#[0-9a-f_]+#?', Number.Integer),
466
+ (r'\d+', Number.Integer),
467
+ (r'(\d+\.\d*|\.\d+|\d+)E[+-]?\d+', Number.Float),
468
+ (r'X"[0-9a-f_]+"', Number.Hex),
469
+ (r'O"[0-7_]+"', Number.Oct),
470
+ (r'B"[01_]+"', Number.Bin),
471
+ ],
472
+ }