pygments.rb 1.1.0 → 2.0.0.rc2
Sign up to get free protection for your applications and to get access to all the features.
- checksums.yaml +5 -5
- data/.github/dependabot.yml +13 -0
- data/.github/workflows/ci.yml +28 -0
- data/.github/workflows/release.yml +24 -0
- data/.gitignore +5 -5
- data/CHANGELOG.adoc +119 -0
- data/Gemfile +3 -1
- data/LICENSE +1 -1
- data/README.adoc +161 -0
- data/Rakefile +12 -19
- data/bench.rb +8 -7
- data/cache-lexers.rb +3 -2
- data/lexers +0 -0
- data/lib/pygments.rb +11 -16
- data/lib/pygments/lexer.rb +6 -4
- data/lib/pygments/mentos.py +23 -66
- data/lib/pygments/popen.rb +155 -207
- data/lib/pygments/version.rb +3 -1
- data/pygments.rb.gemspec +11 -9
- data/test/test_pygments.rb +53 -83
- data/vendor/pygments-main/{AUTHORS → Pygments-2.7.3.dist-info/AUTHORS} +23 -3
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/INSTALLER +1 -0
- data/vendor/pygments-main/{LICENSE → Pygments-2.7.3.dist-info/LICENSE} +1 -1
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/METADATA +49 -0
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/RECORD +482 -0
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/REQUESTED +0 -0
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/WHEEL +5 -0
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/entry_points.txt +3 -0
- data/vendor/pygments-main/Pygments-2.7.3.dist-info/top_level.txt +1 -0
- data/vendor/pygments-main/bin/pygmentize +8 -0
- data/vendor/pygments-main/pygments/__init__.py +6 -11
- data/vendor/pygments-main/pygments/__main__.py +18 -0
- data/vendor/pygments-main/pygments/cmdline.py +94 -41
- data/vendor/pygments-main/pygments/console.py +6 -9
- data/vendor/pygments-main/pygments/filter.py +4 -6
- data/vendor/pygments-main/pygments/filters/__init__.py +609 -21
- data/vendor/pygments-main/pygments/formatter.py +4 -4
- data/vendor/pygments-main/pygments/formatters/__init__.py +42 -6
- data/vendor/pygments-main/pygments/formatters/_mapping.py +1 -3
- data/vendor/pygments-main/pygments/formatters/bbcode.py +1 -1
- data/vendor/pygments-main/pygments/formatters/html.py +223 -135
- data/vendor/pygments-main/pygments/formatters/img.py +102 -38
- data/vendor/pygments-main/pygments/formatters/irc.py +39 -39
- data/vendor/pygments-main/pygments/formatters/latex.py +56 -26
- data/vendor/pygments-main/pygments/formatters/other.py +12 -8
- data/vendor/pygments-main/pygments/formatters/rtf.py +29 -29
- data/vendor/pygments-main/pygments/formatters/svg.py +38 -4
- data/vendor/pygments-main/pygments/formatters/terminal.py +25 -31
- data/vendor/pygments-main/pygments/formatters/terminal256.py +22 -12
- data/vendor/pygments-main/pygments/lexer.py +41 -39
- data/vendor/pygments-main/pygments/lexers/__init__.py +62 -15
- data/vendor/pygments-main/pygments/lexers/_asy_builtins.py +5 -5
- data/vendor/pygments-main/pygments/lexers/_cl_builtins.py +15 -15
- data/vendor/pygments-main/pygments/lexers/_cocoa_builtins.py +11 -12
- data/vendor/pygments-main/pygments/lexers/_csound_builtins.py +1717 -1338
- data/vendor/pygments-main/pygments/lexers/_lasso_builtins.py +8 -1
- data/vendor/pygments-main/pygments/lexers/_lua_builtins.py +2 -4
- data/vendor/pygments-main/pygments/lexers/_mapping.py +79 -33
- data/vendor/pygments-main/pygments/lexers/_mql_builtins.py +2 -2
- data/vendor/pygments-main/pygments/lexers/_mysql_builtins.py +1282 -0
- data/vendor/pygments-main/pygments/lexers/_openedge_builtins.py +1 -1
- data/vendor/pygments-main/pygments/lexers/_php_builtins.py +17 -20
- data/vendor/pygments-main/pygments/lexers/_postgres_builtins.py +73 -16
- data/vendor/pygments-main/pygments/lexers/_scilab_builtins.py +2 -2
- data/vendor/pygments-main/pygments/lexers/_sourcemod_builtins.py +1 -3
- data/vendor/pygments-main/pygments/lexers/_stan_builtins.py +151 -125
- data/vendor/pygments-main/pygments/lexers/_stata_builtins.py +6 -4
- data/vendor/pygments-main/pygments/lexers/_tsql_builtins.py +1 -1
- data/vendor/pygments-main/pygments/lexers/_usd_builtins.py +113 -0
- data/vendor/pygments-main/pygments/lexers/_vbscript_builtins.py +280 -0
- data/vendor/pygments-main/pygments/lexers/_vim_builtins.py +1 -1
- data/vendor/pygments-main/pygments/lexers/actionscript.py +8 -3
- data/vendor/pygments-main/pygments/lexers/agile.py +1 -1
- data/vendor/pygments-main/pygments/lexers/algebra.py +20 -1
- data/vendor/pygments-main/pygments/lexers/ambient.py +1 -1
- data/vendor/pygments-main/pygments/lexers/ampl.py +3 -3
- data/vendor/pygments-main/pygments/lexers/apl.py +15 -15
- data/vendor/pygments-main/pygments/lexers/archetype.py +3 -3
- data/vendor/pygments-main/pygments/lexers/arrow.py +117 -0
- data/vendor/pygments-main/pygments/lexers/asm.py +445 -70
- data/vendor/pygments-main/pygments/lexers/automation.py +3 -3
- data/vendor/pygments-main/pygments/lexers/bare.py +104 -0
- data/vendor/pygments-main/pygments/lexers/basic.py +166 -4
- data/vendor/pygments-main/pygments/lexers/bibtex.py +13 -9
- data/vendor/pygments-main/pygments/lexers/boa.py +102 -0
- data/vendor/pygments-main/pygments/lexers/business.py +69 -36
- data/vendor/pygments-main/pygments/lexers/c_cpp.py +137 -45
- data/vendor/pygments-main/pygments/lexers/c_like.py +68 -43
- data/vendor/pygments-main/pygments/lexers/capnproto.py +20 -21
- data/vendor/pygments-main/pygments/lexers/chapel.py +25 -14
- data/vendor/pygments-main/pygments/lexers/clean.py +135 -244
- data/vendor/pygments-main/pygments/lexers/compiled.py +1 -1
- data/vendor/pygments-main/pygments/lexers/configs.py +188 -37
- data/vendor/pygments-main/pygments/lexers/console.py +1 -1
- data/vendor/pygments-main/pygments/lexers/crystal.py +31 -23
- data/vendor/pygments-main/pygments/lexers/csound.py +288 -187
- data/vendor/pygments-main/pygments/lexers/css.py +18 -16
- data/vendor/pygments-main/pygments/lexers/d.py +10 -5
- data/vendor/pygments-main/pygments/lexers/dalvik.py +1 -1
- data/vendor/pygments-main/pygments/lexers/data.py +240 -97
- data/vendor/pygments-main/pygments/lexers/devicetree.py +109 -0
- data/vendor/pygments-main/pygments/lexers/diff.py +4 -4
- data/vendor/pygments-main/pygments/lexers/dotnet.py +40 -24
- data/vendor/pygments-main/pygments/lexers/dsls.py +147 -65
- data/vendor/pygments-main/pygments/lexers/dylan.py +16 -18
- data/vendor/pygments-main/pygments/lexers/ecl.py +16 -2
- data/vendor/pygments-main/pygments/lexers/eiffel.py +1 -1
- data/vendor/pygments-main/pygments/lexers/elm.py +5 -5
- data/vendor/pygments-main/pygments/lexers/email.py +151 -0
- data/vendor/pygments-main/pygments/lexers/erlang.py +29 -32
- data/vendor/pygments-main/pygments/lexers/esoteric.py +54 -25
- data/vendor/pygments-main/pygments/lexers/ezhil.py +24 -15
- data/vendor/pygments-main/pygments/lexers/factor.py +1 -1
- data/vendor/pygments-main/pygments/lexers/fantom.py +1 -1
- data/vendor/pygments-main/pygments/lexers/felix.py +1 -1
- data/vendor/pygments-main/pygments/lexers/floscript.py +83 -0
- data/vendor/pygments-main/pygments/lexers/forth.py +41 -40
- data/vendor/pygments-main/pygments/lexers/fortran.py +5 -4
- data/vendor/pygments-main/pygments/lexers/foxpro.py +2 -2
- data/vendor/pygments-main/pygments/lexers/freefem.py +898 -0
- data/vendor/pygments-main/pygments/lexers/functional.py +1 -1
- data/vendor/pygments-main/pygments/lexers/gdscript.py +346 -0
- data/vendor/pygments-main/pygments/lexers/go.py +1 -1
- data/vendor/pygments-main/pygments/lexers/grammar_notation.py +63 -6
- data/vendor/pygments-main/pygments/lexers/graph.py +14 -9
- data/vendor/pygments-main/pygments/lexers/graphics.py +272 -25
- data/vendor/pygments-main/pygments/lexers/haskell.py +52 -22
- data/vendor/pygments-main/pygments/lexers/haxe.py +6 -6
- data/vendor/pygments-main/pygments/lexers/hdl.py +176 -86
- data/vendor/pygments-main/pygments/lexers/hexdump.py +18 -12
- data/vendor/pygments-main/pygments/lexers/html.py +31 -19
- data/vendor/pygments-main/pygments/lexers/idl.py +20 -9
- data/vendor/pygments-main/pygments/lexers/igor.py +244 -112
- data/vendor/pygments-main/pygments/lexers/inferno.py +2 -2
- data/vendor/pygments-main/pygments/lexers/installers.py +1 -1
- data/vendor/pygments-main/pygments/lexers/int_fiction.py +40 -15
- data/vendor/pygments-main/pygments/lexers/iolang.py +2 -2
- data/vendor/pygments-main/pygments/lexers/j.py +3 -3
- data/vendor/pygments-main/pygments/lexers/javascript.py +100 -66
- data/vendor/pygments-main/pygments/lexers/julia.py +46 -48
- data/vendor/pygments-main/pygments/lexers/jvm.py +265 -165
- data/vendor/pygments-main/pygments/lexers/lisp.py +882 -804
- data/vendor/pygments-main/pygments/lexers/make.py +10 -6
- data/vendor/pygments-main/pygments/lexers/markup.py +213 -43
- data/vendor/pygments-main/pygments/lexers/math.py +1 -1
- data/vendor/pygments-main/pygments/lexers/matlab.py +98 -41
- data/vendor/pygments-main/pygments/lexers/mime.py +226 -0
- data/vendor/pygments-main/pygments/lexers/ml.py +198 -9
- data/vendor/pygments-main/pygments/lexers/modeling.py +26 -18
- data/vendor/pygments-main/pygments/lexers/modula2.py +25 -6
- data/vendor/pygments-main/pygments/lexers/monte.py +4 -3
- data/vendor/pygments-main/pygments/lexers/mosel.py +448 -0
- data/vendor/pygments-main/pygments/lexers/ncl.py +85 -244
- data/vendor/pygments-main/pygments/lexers/nimrod.py +4 -4
- data/vendor/pygments-main/pygments/lexers/nit.py +1 -1
- data/vendor/pygments-main/pygments/lexers/nix.py +1 -1
- data/vendor/pygments-main/pygments/lexers/oberon.py +17 -1
- data/vendor/pygments-main/pygments/lexers/objective.py +16 -16
- data/vendor/pygments-main/pygments/lexers/ooc.py +1 -1
- data/vendor/pygments-main/pygments/lexers/other.py +1 -1
- data/vendor/pygments-main/pygments/lexers/parasail.py +1 -1
- data/vendor/pygments-main/pygments/lexers/parsers.py +23 -58
- data/vendor/pygments-main/pygments/lexers/pascal.py +23 -23
- data/vendor/pygments-main/pygments/lexers/pawn.py +30 -24
- data/vendor/pygments-main/pygments/lexers/perl.py +276 -160
- data/vendor/pygments-main/pygments/lexers/php.py +64 -10
- data/vendor/pygments-main/pygments/lexers/pointless.py +71 -0
- data/vendor/pygments-main/pygments/lexers/pony.py +94 -0
- data/vendor/pygments-main/pygments/lexers/praat.py +38 -30
- data/vendor/pygments-main/pygments/lexers/prolog.py +36 -36
- data/vendor/pygments-main/pygments/lexers/promql.py +183 -0
- data/vendor/pygments-main/pygments/lexers/python.py +439 -226
- data/vendor/pygments-main/pygments/lexers/qvt.py +6 -6
- data/vendor/pygments-main/pygments/lexers/r.py +13 -275
- data/vendor/pygments-main/pygments/lexers/rdf.py +232 -39
- data/vendor/pygments-main/pygments/lexers/rebol.py +8 -8
- data/vendor/pygments-main/pygments/lexers/resource.py +2 -2
- data/vendor/pygments-main/pygments/lexers/ride.py +139 -0
- data/vendor/pygments-main/pygments/lexers/rnc.py +1 -1
- data/vendor/pygments-main/pygments/lexers/roboconf.py +1 -1
- data/vendor/pygments-main/pygments/lexers/robotframework.py +23 -31
- data/vendor/pygments-main/pygments/lexers/ruby.py +22 -24
- data/vendor/pygments-main/pygments/lexers/rust.py +86 -71
- data/vendor/pygments-main/pygments/lexers/sas.py +7 -7
- data/vendor/pygments-main/pygments/lexers/scdoc.py +83 -0
- data/vendor/pygments-main/pygments/lexers/scripting.py +75 -13
- data/vendor/pygments-main/pygments/lexers/sgf.py +61 -0
- data/vendor/pygments-main/pygments/lexers/shell.py +175 -51
- data/vendor/pygments-main/pygments/lexers/sieve.py +69 -0
- data/vendor/pygments-main/pygments/lexers/slash.py +185 -0
- data/vendor/pygments-main/pygments/lexers/smalltalk.py +2 -2
- data/vendor/pygments-main/pygments/lexers/smv.py +30 -26
- data/vendor/pygments-main/pygments/lexers/snobol.py +1 -1
- data/vendor/pygments-main/pygments/lexers/solidity.py +92 -0
- data/vendor/pygments-main/pygments/lexers/special.py +5 -3
- data/vendor/pygments-main/pygments/lexers/sql.py +333 -177
- data/vendor/pygments-main/pygments/lexers/stata.py +107 -42
- data/vendor/pygments-main/pygments/lexers/supercollider.py +6 -1
- data/vendor/pygments-main/pygments/lexers/tcl.py +1 -1
- data/vendor/pygments-main/pygments/lexers/templates.py +144 -132
- data/vendor/pygments-main/pygments/lexers/teraterm.py +335 -0
- data/vendor/pygments-main/pygments/lexers/testing.py +6 -6
- data/vendor/pygments-main/pygments/lexers/text.py +2 -1
- data/vendor/pygments-main/pygments/lexers/textedit.py +4 -4
- data/vendor/pygments-main/pygments/lexers/textfmts.py +146 -13
- data/vendor/pygments-main/pygments/lexers/theorem.py +69 -53
- data/vendor/pygments-main/pygments/lexers/tnt.py +263 -0
- data/vendor/pygments-main/pygments/lexers/trafficscript.py +1 -1
- data/vendor/pygments-main/pygments/lexers/typoscript.py +8 -14
- data/vendor/pygments-main/pygments/lexers/unicon.py +412 -0
- data/vendor/pygments-main/pygments/lexers/urbi.py +14 -1
- data/vendor/pygments-main/pygments/lexers/usd.py +90 -0
- data/vendor/pygments-main/pygments/lexers/varnish.py +5 -5
- data/vendor/pygments-main/pygments/lexers/verification.py +15 -12
- data/vendor/pygments-main/pygments/lexers/web.py +1 -1
- data/vendor/pygments-main/pygments/lexers/webidl.py +299 -0
- data/vendor/pygments-main/pygments/lexers/webmisc.py +50 -38
- data/vendor/pygments-main/pygments/lexers/whiley.py +11 -12
- data/vendor/pygments-main/pygments/lexers/x10.py +1 -1
- data/vendor/pygments-main/pygments/lexers/xorg.py +37 -0
- data/vendor/pygments-main/pygments/lexers/yang.py +104 -0
- data/vendor/pygments-main/pygments/lexers/zig.py +124 -0
- data/vendor/pygments-main/pygments/modeline.py +6 -5
- data/vendor/pygments-main/pygments/plugin.py +14 -18
- data/vendor/pygments-main/pygments/regexopt.py +1 -1
- data/vendor/pygments-main/pygments/scanner.py +2 -2
- data/vendor/pygments-main/pygments/sphinxext.py +2 -4
- data/vendor/pygments-main/pygments/style.py +61 -24
- data/vendor/pygments-main/pygments/styles/__init__.py +13 -5
- data/vendor/pygments-main/pygments/styles/abap.py +29 -0
- data/vendor/pygments-main/pygments/styles/algol.py +1 -1
- data/vendor/pygments-main/pygments/styles/algol_nu.py +1 -1
- data/vendor/pygments-main/pygments/styles/arduino.py +2 -2
- data/vendor/pygments-main/pygments/styles/autumn.py +1 -1
- data/vendor/pygments-main/pygments/styles/borland.py +1 -1
- data/vendor/pygments-main/pygments/styles/bw.py +1 -1
- data/vendor/pygments-main/pygments/styles/colorful.py +1 -1
- data/vendor/pygments-main/pygments/styles/default.py +1 -1
- data/vendor/pygments-main/pygments/styles/emacs.py +1 -1
- data/vendor/pygments-main/pygments/styles/friendly.py +1 -1
- data/vendor/pygments-main/pygments/styles/fruity.py +1 -1
- data/vendor/pygments-main/pygments/styles/igor.py +1 -1
- data/vendor/pygments-main/pygments/styles/inkpot.py +67 -0
- data/vendor/pygments-main/pygments/styles/lovelace.py +1 -1
- data/vendor/pygments-main/pygments/styles/manni.py +1 -1
- data/vendor/pygments-main/pygments/styles/monokai.py +4 -3
- data/vendor/pygments-main/pygments/styles/murphy.py +1 -1
- data/vendor/pygments-main/pygments/styles/native.py +1 -1
- data/vendor/pygments-main/pygments/styles/paraiso_dark.py +1 -1
- data/vendor/pygments-main/pygments/styles/paraiso_light.py +1 -1
- data/vendor/pygments-main/pygments/styles/pastie.py +1 -1
- data/vendor/pygments-main/pygments/styles/perldoc.py +1 -1
- data/vendor/pygments-main/pygments/styles/rainbow_dash.py +89 -0
- data/vendor/pygments-main/pygments/styles/rrt.py +1 -1
- data/vendor/pygments-main/pygments/styles/sas.py +3 -0
- data/vendor/pygments-main/pygments/styles/solarized.py +134 -0
- data/vendor/pygments-main/pygments/styles/stata_dark.py +41 -0
- data/vendor/pygments-main/pygments/styles/stata_light.py +39 -0
- data/vendor/pygments-main/pygments/styles/tango.py +1 -1
- data/vendor/pygments-main/pygments/styles/trac.py +1 -1
- data/vendor/pygments-main/pygments/styles/vim.py +1 -1
- data/vendor/pygments-main/pygments/styles/vs.py +1 -1
- data/vendor/pygments-main/pygments/styles/xcode.py +1 -1
- data/vendor/pygments-main/pygments/token.py +1 -1
- data/vendor/pygments-main/pygments/unistring.py +47 -108
- data/vendor/pygments-main/pygments/util.py +19 -95
- metadata +72 -137
- data/CHANGELOG.md +0 -89
- data/README.md +0 -121
- data/circle.yml +0 -20
- data/test/test_data.py +0 -514
- data/test/test_data_generated +0 -2582
- data/vendor/custom_lexers/github.py +0 -565
- data/vendor/pygments-main/CHANGES +0 -1163
- data/vendor/pygments-main/MANIFEST.in +0 -6
- data/vendor/pygments-main/Makefile +0 -65
- data/vendor/pygments-main/README.rst +0 -39
- data/vendor/pygments-main/REVISION +0 -1
- data/vendor/pygments-main/TODO +0 -12
- data/vendor/pygments-main/doc/Makefile +0 -153
- data/vendor/pygments-main/doc/_static/favicon.ico +0 -0
- data/vendor/pygments-main/doc/_static/logo_new.png +0 -0
- data/vendor/pygments-main/doc/_static/logo_only.png +0 -0
- data/vendor/pygments-main/doc/_templates/docssidebar.html +0 -3
- data/vendor/pygments-main/doc/_templates/indexsidebar.html +0 -25
- data/vendor/pygments-main/doc/_themes/pygments14/layout.html +0 -98
- data/vendor/pygments-main/doc/_themes/pygments14/static/bodybg.png +0 -0
- data/vendor/pygments-main/doc/_themes/pygments14/static/docbg.png +0 -0
- data/vendor/pygments-main/doc/_themes/pygments14/static/listitem.png +0 -0
- data/vendor/pygments-main/doc/_themes/pygments14/static/logo.png +0 -0
- data/vendor/pygments-main/doc/_themes/pygments14/static/pocoo.png +0 -0
- data/vendor/pygments-main/doc/_themes/pygments14/static/pygments14.css_t +0 -401
- data/vendor/pygments-main/doc/_themes/pygments14/theme.conf +0 -15
- data/vendor/pygments-main/doc/conf.py +0 -241
- data/vendor/pygments-main/doc/docs/api.rst +0 -331
- data/vendor/pygments-main/doc/docs/authors.rst +0 -4
- data/vendor/pygments-main/doc/docs/changelog.rst +0 -1
- data/vendor/pygments-main/doc/docs/cmdline.rst +0 -149
- data/vendor/pygments-main/doc/docs/filterdevelopment.rst +0 -71
- data/vendor/pygments-main/doc/docs/filters.rst +0 -41
- data/vendor/pygments-main/doc/docs/formatterdevelopment.rst +0 -169
- data/vendor/pygments-main/doc/docs/formatters.rst +0 -48
- data/vendor/pygments-main/doc/docs/index.rst +0 -66
- data/vendor/pygments-main/doc/docs/integrate.rst +0 -40
- data/vendor/pygments-main/doc/docs/java.rst +0 -70
- data/vendor/pygments-main/doc/docs/lexerdevelopment.rst +0 -689
- data/vendor/pygments-main/doc/docs/lexers.rst +0 -69
- data/vendor/pygments-main/doc/docs/moinmoin.rst +0 -39
- data/vendor/pygments-main/doc/docs/plugins.rst +0 -93
- data/vendor/pygments-main/doc/docs/quickstart.rst +0 -205
- data/vendor/pygments-main/doc/docs/rstdirective.rst +0 -22
- data/vendor/pygments-main/doc/docs/styles.rst +0 -201
- data/vendor/pygments-main/doc/docs/tokens.rst +0 -372
- data/vendor/pygments-main/doc/docs/unicode.rst +0 -58
- data/vendor/pygments-main/doc/download.rst +0 -41
- data/vendor/pygments-main/doc/faq.rst +0 -139
- data/vendor/pygments-main/doc/index.rst +0 -54
- data/vendor/pygments-main/doc/languages.rst +0 -154
- data/vendor/pygments-main/doc/make.bat +0 -190
- data/vendor/pygments-main/doc/pygmentize.1 +0 -94
- data/vendor/pygments-main/external/autopygmentize +0 -84
- data/vendor/pygments-main/external/lasso-builtins-generator-9.lasso +0 -162
- data/vendor/pygments-main/external/markdown-processor.py +0 -67
- data/vendor/pygments-main/external/moin-parser.py +0 -112
- data/vendor/pygments-main/external/pygments.bashcomp +0 -38
- data/vendor/pygments-main/external/rst-directive.py +0 -82
- data/vendor/pygments-main/pygmentize +0 -8
- data/vendor/pygments-main/pygments/lexers/github.py +0 -565
- data/vendor/pygments-main/pygments/styles/stata.py +0 -37
- data/vendor/pygments-main/requirements.txt +0 -5
- data/vendor/pygments-main/scripts/check_sources.py +0 -211
- data/vendor/pygments-main/scripts/debug_lexer.py +0 -246
- data/vendor/pygments-main/scripts/detect_missing_analyse_text.py +0 -33
- data/vendor/pygments-main/scripts/epydoc.css +0 -280
- data/vendor/pygments-main/scripts/find_error.py +0 -1
- data/vendor/pygments-main/scripts/get_vimkw.py +0 -74
- data/vendor/pygments-main/scripts/pylintrc +0 -301
- data/vendor/pygments-main/scripts/vim2pygments.py +0 -935
- data/vendor/pygments-main/setup.cfg +0 -10
- data/vendor/pygments-main/setup.py +0 -77
- data/vendor/pygments-main/tox.ini +0 -7
- data/vendor/simplejson/.gitignore +0 -10
- data/vendor/simplejson/.travis.yml +0 -5
- data/vendor/simplejson/CHANGES.txt +0 -291
- data/vendor/simplejson/LICENSE.txt +0 -19
- data/vendor/simplejson/MANIFEST.in +0 -5
- data/vendor/simplejson/README.rst +0 -19
- data/vendor/simplejson/conf.py +0 -179
- data/vendor/simplejson/index.rst +0 -628
- data/vendor/simplejson/scripts/make_docs.py +0 -18
- data/vendor/simplejson/setup.py +0 -104
- data/vendor/simplejson/simplejson/__init__.py +0 -510
- data/vendor/simplejson/simplejson/_speedups.c +0 -2745
- data/vendor/simplejson/simplejson/decoder.py +0 -425
- data/vendor/simplejson/simplejson/encoder.py +0 -567
- data/vendor/simplejson/simplejson/ordered_dict.py +0 -119
- data/vendor/simplejson/simplejson/scanner.py +0 -77
- data/vendor/simplejson/simplejson/tests/__init__.py +0 -67
- data/vendor/simplejson/simplejson/tests/test_bigint_as_string.py +0 -55
- data/vendor/simplejson/simplejson/tests/test_check_circular.py +0 -30
- data/vendor/simplejson/simplejson/tests/test_decimal.py +0 -66
- data/vendor/simplejson/simplejson/tests/test_decode.py +0 -83
- data/vendor/simplejson/simplejson/tests/test_default.py +0 -9
- data/vendor/simplejson/simplejson/tests/test_dump.py +0 -67
- data/vendor/simplejson/simplejson/tests/test_encode_basestring_ascii.py +0 -46
- data/vendor/simplejson/simplejson/tests/test_encode_for_html.py +0 -32
- data/vendor/simplejson/simplejson/tests/test_errors.py +0 -34
- data/vendor/simplejson/simplejson/tests/test_fail.py +0 -91
- data/vendor/simplejson/simplejson/tests/test_float.py +0 -19
- data/vendor/simplejson/simplejson/tests/test_indent.py +0 -86
- data/vendor/simplejson/simplejson/tests/test_item_sort_key.py +0 -20
- data/vendor/simplejson/simplejson/tests/test_namedtuple.py +0 -121
- data/vendor/simplejson/simplejson/tests/test_pass1.py +0 -76
- data/vendor/simplejson/simplejson/tests/test_pass2.py +0 -14
- data/vendor/simplejson/simplejson/tests/test_pass3.py +0 -20
- data/vendor/simplejson/simplejson/tests/test_recursion.py +0 -67
- data/vendor/simplejson/simplejson/tests/test_scanstring.py +0 -117
- data/vendor/simplejson/simplejson/tests/test_separators.py +0 -42
- data/vendor/simplejson/simplejson/tests/test_speedups.py +0 -20
- data/vendor/simplejson/simplejson/tests/test_tuple.py +0 -49
- data/vendor/simplejson/simplejson/tests/test_unicode.py +0 -109
- data/vendor/simplejson/simplejson/tool.py +0 -39
@@ -5,7 +5,7 @@
|
|
5
5
|
|
6
6
|
Lexers for Haxe and related stuff.
|
7
7
|
|
8
|
-
:copyright: Copyright 2006-
|
8
|
+
:copyright: Copyright 2006-2020 by the Pygments team, see AUTHORS.
|
9
9
|
:license: BSD, see LICENSE for details.
|
10
10
|
"""
|
11
11
|
|
@@ -43,7 +43,7 @@ class HaxeLexer(ExtendedRegexLexer):
|
|
43
43
|
typeid = r'_*[A-Z]\w*'
|
44
44
|
|
45
45
|
# combined ident and dollar and idtype
|
46
|
-
ident = r'(?:_*[a-z]\w*|_+[0-9]\w*|' + typeid + '|_+|\$\w+)'
|
46
|
+
ident = r'(?:_*[a-z]\w*|_+[0-9]\w*|' + typeid + r'|_+|\$\w+)'
|
47
47
|
|
48
48
|
binop = (r'(?:%=|&=|\|=|\^=|\+=|\-=|\*=|/=|<<=|>\s*>\s*=|>\s*>\s*>\s*=|==|'
|
49
49
|
r'!=|<=|>\s*=|&&|\|\||<<|>>>|>\s*>|\.\.\.|<|>|%|&|\||\^|\+|\*|'
|
@@ -182,7 +182,7 @@ class HaxeLexer(ExtendedRegexLexer):
|
|
182
182
|
(r'[0-9]+[eE][+\-]?[0-9]+', Number.Float),
|
183
183
|
(r'[0-9]+\.[0-9]*[eE][+\-]?[0-9]+', Number.Float),
|
184
184
|
(r'[0-9]+\.[0-9]+', Number.Float),
|
185
|
-
(r'[0-9]+\.(?!' + ident + '|\.\.)', Number.Float),
|
185
|
+
(r'[0-9]+\.(?!' + ident + r'|\.\.)', Number.Float),
|
186
186
|
|
187
187
|
# Int
|
188
188
|
(r'0x[0-9a-fA-F]+', Number.Hex),
|
@@ -219,7 +219,7 @@ class HaxeLexer(ExtendedRegexLexer):
|
|
219
219
|
(r'[0-9]+[eE][+\-]?[0-9]+', Number.Float, ('#pop', 'preproc-expr-chain')),
|
220
220
|
(r'[0-9]+\.[0-9]*[eE][+\-]?[0-9]+', Number.Float, ('#pop', 'preproc-expr-chain')),
|
221
221
|
(r'[0-9]+\.[0-9]+', Number.Float, ('#pop', 'preproc-expr-chain')),
|
222
|
-
(r'[0-9]+\.(?!' + ident + '|\.\.)', Number.Float, ('#pop', 'preproc-expr-chain')),
|
222
|
+
(r'[0-9]+\.(?!' + ident + r'|\.\.)', Number.Float, ('#pop', 'preproc-expr-chain')),
|
223
223
|
|
224
224
|
# Int
|
225
225
|
(r'0x[0-9a-fA-F]+', Number.Hex, ('#pop', 'preproc-expr-chain')),
|
@@ -456,7 +456,7 @@ class HaxeLexer(ExtendedRegexLexer):
|
|
456
456
|
(r'[0-9]+[eE][+\-]?[0-9]+', Number.Float, ('#pop', 'expr-chain')),
|
457
457
|
(r'[0-9]+\.[0-9]*[eE][+\-]?[0-9]+', Number.Float, ('#pop', 'expr-chain')),
|
458
458
|
(r'[0-9]+\.[0-9]+', Number.Float, ('#pop', 'expr-chain')),
|
459
|
-
(r'[0-9]+\.(?!' + ident + '|\.\.)', Number.Float, ('#pop', 'expr-chain')),
|
459
|
+
(r'[0-9]+\.(?!' + ident + r'|\.\.)', Number.Float, ('#pop', 'expr-chain')),
|
460
460
|
|
461
461
|
# Int
|
462
462
|
(r'0x[0-9a-fA-F]+', Number.Hex, ('#pop', 'expr-chain')),
|
@@ -711,7 +711,7 @@ class HaxeLexer(ExtendedRegexLexer):
|
|
711
711
|
(r'[0-9]+[eE][+\-]?[0-9]+', Number.Float, '#pop'),
|
712
712
|
(r'[0-9]+\.[0-9]*[eE][+\-]?[0-9]+', Number.Float, '#pop'),
|
713
713
|
(r'[0-9]+\.[0-9]+', Number.Float, '#pop'),
|
714
|
-
(r'[0-9]+\.(?!' + ident + '|\.\.)', Number.Float, '#pop'),
|
714
|
+
(r'[0-9]+\.(?!' + ident + r'|\.\.)', Number.Float, '#pop'),
|
715
715
|
|
716
716
|
# Int
|
717
717
|
(r'0x[0-9a-fA-F]+', Number.Hex, '#pop'),
|
@@ -5,7 +5,7 @@
|
|
5
5
|
|
6
6
|
Lexers for hardware descriptor languages.
|
7
7
|
|
8
|
-
:copyright: Copyright 2006-
|
8
|
+
:copyright: Copyright 2006-2020 by the Pygments team, see AUTHORS.
|
9
9
|
:license: BSD, see LICENSE for details.
|
10
10
|
"""
|
11
11
|
|
@@ -105,11 +105,12 @@ class VerilogLexer(RegexLexer):
|
|
105
105
|
(words((
|
106
106
|
'byte', 'shortint', 'int', 'longint', 'integer', 'time',
|
107
107
|
'bit', 'logic', 'reg', 'supply0', 'supply1', 'tri', 'triand',
|
108
|
-
'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', '
|
108
|
+
'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', 'wor'
|
109
109
|
'shortreal', 'real', 'realtime'), suffix=r'\b'),
|
110
110
|
Keyword.Type),
|
111
111
|
(r'[a-zA-Z_]\w*:(?!:)', Name.Label),
|
112
112
|
(r'\$?[a-zA-Z_]\w*', Name),
|
113
|
+
(r'\\(\S+)', Name),
|
113
114
|
],
|
114
115
|
'string': [
|
115
116
|
(r'"', String, '#pop'),
|
@@ -131,14 +132,18 @@ class VerilogLexer(RegexLexer):
|
|
131
132
|
]
|
132
133
|
}
|
133
134
|
|
134
|
-
def
|
135
|
-
for
|
136
|
-
|
137
|
-
|
138
|
-
|
139
|
-
|
140
|
-
|
141
|
-
|
135
|
+
def analyse_text(text):
|
136
|
+
"""Verilog code will use one of reg/wire/assign for sure, and that
|
137
|
+
is not common elsewhere."""
|
138
|
+
result = 0
|
139
|
+
if 'reg' in text:
|
140
|
+
result += 0.1
|
141
|
+
if 'wire' in text:
|
142
|
+
result += 0.1
|
143
|
+
if 'assign' in text:
|
144
|
+
result += 0.1
|
145
|
+
|
146
|
+
return result
|
142
147
|
|
143
148
|
|
144
149
|
class SystemVerilogLexer(RegexLexer):
|
@@ -170,91 +175,185 @@ class SystemVerilogLexer(RegexLexer):
|
|
170
175
|
(r'[{}#@]', Punctuation),
|
171
176
|
(r'L?"', String, 'string'),
|
172
177
|
(r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char),
|
178
|
+
|
173
179
|
(r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float),
|
174
180
|
(r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float),
|
175
|
-
|
176
|
-
(r'([
|
177
|
-
|
178
|
-
(r'([
|
179
|
-
|
180
|
-
(r'\
|
181
|
+
|
182
|
+
(r'([1-9][_0-9]*)?\s*\'[sS]?[bB]\s*[xXzZ?01][_xXzZ?01]*',
|
183
|
+
Number.Bin),
|
184
|
+
(r'([1-9][_0-9]*)?\s*\'[sS]?[oO]\s*[xXzZ?0-7][_xXzZ?0-7]*',
|
185
|
+
Number.Oct),
|
186
|
+
(r'([1-9][_0-9]*)?\s*\'[sS]?[dD]\s*[xXzZ?0-9][_xXzZ?0-9]*',
|
187
|
+
Number.Integer),
|
188
|
+
(r'([1-9][_0-9]*)?\s*\'[sS]?[hH]\s*[xXzZ?0-9a-fA-F][_xXzZ?0-9a-fA-F]*',
|
189
|
+
Number.Hex),
|
190
|
+
|
191
|
+
(r'\'[01xXzZ]', Number),
|
192
|
+
(r'[0-9][_0-9]*', Number.Integer),
|
193
|
+
|
181
194
|
(r'\*/', Error),
|
195
|
+
|
182
196
|
(r'[~!%^&*+=|?:<>/-]', Operator),
|
183
|
-
(r'
|
197
|
+
(words(('inside', 'dist'), suffix=r'\b'), Operator.Word),
|
198
|
+
|
199
|
+
(r'[()\[\],.;\'$]', Punctuation),
|
184
200
|
(r'`[a-zA-Z_]\w*', Name.Constant),
|
185
201
|
|
186
202
|
(words((
|
187
|
-
'accept_on', 'alias', 'always', 'always_comb', 'always_ff',
|
188
|
-
'and', 'assert', 'assign', 'assume', 'automatic',
|
189
|
-
'
|
190
|
-
'
|
191
|
-
'
|
192
|
-
'
|
193
|
-
'
|
194
|
-
'
|
195
|
-
'
|
196
|
-
'
|
197
|
-
'
|
198
|
-
'
|
199
|
-
'
|
200
|
-
'
|
201
|
-
'
|
202
|
-
'
|
203
|
-
'
|
204
|
-
'
|
205
|
-
'
|
206
|
-
'
|
207
|
-
'
|
208
|
-
'
|
209
|
-
'
|
210
|
-
'
|
211
|
-
'
|
212
|
-
'
|
213
|
-
'
|
214
|
-
'
|
215
|
-
'
|
216
|
-
'
|
217
|
-
'
|
218
|
-
'
|
203
|
+
'accept_on', 'alias', 'always', 'always_comb', 'always_ff',
|
204
|
+
'always_latch', 'and', 'assert', 'assign', 'assume', 'automatic',
|
205
|
+
'before', 'begin', 'bind', 'bins', 'binsof', 'break', 'buf',
|
206
|
+
'bufif0', 'bufif1', 'case', 'casex', 'casez', 'cell',
|
207
|
+
'checker', 'clocking', 'cmos', 'config',
|
208
|
+
'constraint', 'context', 'continue', 'cover', 'covergroup',
|
209
|
+
'coverpoint', 'cross', 'deassign', 'default', 'defparam', 'design',
|
210
|
+
'disable', 'do', 'edge', 'else', 'end', 'endcase',
|
211
|
+
'endchecker', 'endclocking', 'endconfig', 'endfunction',
|
212
|
+
'endgenerate', 'endgroup', 'endinterface', 'endmodule', 'endpackage',
|
213
|
+
'endprimitive', 'endprogram', 'endproperty', 'endsequence',
|
214
|
+
'endspecify', 'endtable', 'endtask', 'enum', 'eventually',
|
215
|
+
'expect', 'export', 'extern', 'final', 'first_match',
|
216
|
+
'for', 'force', 'foreach', 'forever', 'fork', 'forkjoin', 'function',
|
217
|
+
'generate', 'genvar', 'global', 'highz0', 'highz1', 'if', 'iff',
|
218
|
+
'ifnone', 'ignore_bins', 'illegal_bins', 'implies', 'implements', 'import',
|
219
|
+
'incdir', 'include', 'initial', 'inout', 'input',
|
220
|
+
'instance', 'interconnect', 'interface', 'intersect', 'join',
|
221
|
+
'join_any', 'join_none', 'large', 'let', 'liblist', 'library',
|
222
|
+
'local', 'localparam', 'macromodule', 'matches',
|
223
|
+
'medium', 'modport', 'module', 'nand', 'negedge', 'nettype', 'new', 'nexttime',
|
224
|
+
'nmos', 'nor', 'noshowcancelled', 'not', 'notif0', 'notif1', 'null',
|
225
|
+
'or', 'output', 'package', 'packed', 'parameter', 'pmos', 'posedge',
|
226
|
+
'primitive', 'priority', 'program', 'property', 'protected', 'pull0',
|
227
|
+
'pull1', 'pulldown', 'pullup', 'pulsestyle_ondetect',
|
228
|
+
'pulsestyle_onevent', 'pure', 'rand', 'randc', 'randcase',
|
229
|
+
'randsequence', 'rcmos', 'ref',
|
230
|
+
'reject_on', 'release', 'repeat', 'restrict', 'return', 'rnmos',
|
231
|
+
'rpmos', 'rtran', 'rtranif0', 'rtranif1', 's_always', 's_eventually',
|
232
|
+
's_nexttime', 's_until', 's_until_with', 'scalared', 'sequence',
|
233
|
+
'showcancelled', 'small', 'soft', 'solve',
|
234
|
+
'specify', 'specparam', 'static', 'strong', 'strong0',
|
235
|
+
'strong1', 'struct', 'super', 'sync_accept_on',
|
236
|
+
'sync_reject_on', 'table', 'tagged', 'task', 'this', 'throughout',
|
237
|
+
'timeprecision', 'timeunit', 'tran', 'tranif0', 'tranif1',
|
238
|
+
'typedef', 'union', 'unique', 'unique0', 'until',
|
239
|
+
'until_with', 'untyped', 'use', 'vectored',
|
240
|
+
'virtual', 'wait', 'wait_order', 'weak', 'weak0',
|
241
|
+
'weak1', 'while', 'wildcard', 'with', 'within',
|
242
|
+
'xnor', 'xor'),
|
243
|
+
suffix=r'\b'),
|
219
244
|
Keyword),
|
220
245
|
|
246
|
+
(r'(class)(\s+)([a-zA-Z_]\w*)',
|
247
|
+
bygroups(Keyword.Declaration, Text, Name.Class)),
|
248
|
+
(r'(extends)(\s+)([a-zA-Z_]\w*)',
|
249
|
+
bygroups(Keyword.Declaration, Text, Name.Class)),
|
250
|
+
(r'(endclass\b)(?:(\s*)(:)(\s*)([a-zA-Z_]\w*))?',
|
251
|
+
bygroups(Keyword.Declaration, Text, Punctuation, Text, Name.Class)),
|
252
|
+
|
221
253
|
(words((
|
222
|
-
|
223
|
-
'
|
224
|
-
'
|
225
|
-
'
|
254
|
+
# Variable types
|
255
|
+
'bit', 'byte', 'chandle', 'const', 'event', 'int', 'integer',
|
256
|
+
'logic', 'longint', 'real', 'realtime', 'reg', 'shortint',
|
257
|
+
'shortreal', 'signed', 'string', 'time', 'type', 'unsigned',
|
258
|
+
'var', 'void',
|
259
|
+
# Net types
|
260
|
+
'supply0', 'supply1', 'tri', 'triand', 'trior', 'trireg',
|
261
|
+
'tri0', 'tri1', 'uwire', 'wand', 'wire', 'wor'),
|
262
|
+
suffix=r'\b'),
|
263
|
+
Keyword.Type),
|
264
|
+
|
265
|
+
(words((
|
266
|
+
'`__FILE__', '`__LINE__', '`begin_keywords', '`celldefine',
|
267
|
+
'`default_nettype', '`define', '`else', '`elsif', '`end_keywords',
|
268
|
+
'`endcelldefine', '`endif', '`ifdef', '`ifndef', '`include',
|
269
|
+
'`line', '`nounconnected_drive', '`pragma', '`resetall',
|
270
|
+
'`timescale', '`unconnected_drive', '`undef', '`undefineall'),
|
226
271
|
suffix=r'\b'),
|
227
272
|
Comment.Preproc),
|
228
273
|
|
229
274
|
(words((
|
230
|
-
|
231
|
-
'$
|
232
|
-
|
233
|
-
'$
|
234
|
-
|
235
|
-
'$
|
236
|
-
|
237
|
-
'$
|
238
|
-
'$
|
239
|
-
'$
|
240
|
-
|
241
|
-
'$
|
242
|
-
|
243
|
-
'$
|
275
|
+
# Simulation control tasks (20.2)
|
276
|
+
'$exit', '$finish', '$stop',
|
277
|
+
# Simulation time functions (20.3)
|
278
|
+
'$realtime', '$stime', '$time',
|
279
|
+
# Timescale tasks (20.4)
|
280
|
+
'$printtimescale', '$timeformat',
|
281
|
+
# Conversion functions
|
282
|
+
'$bitstoreal', '$bitstoshortreal', '$cast', '$itor',
|
283
|
+
'$realtobits', '$rtoi', '$shortrealtobits', '$signed',
|
284
|
+
'$unsigned',
|
285
|
+
# Data query functions (20.6)
|
286
|
+
'$bits', '$isunbounded', '$typename',
|
287
|
+
# Array query functions (20.7)
|
288
|
+
'$dimensions', '$high', '$increment', '$left', '$low', '$right',
|
289
|
+
'$size', '$unpacked_dimensions',
|
290
|
+
# Math functions (20.8)
|
291
|
+
'$acos', '$acosh', '$asin', '$asinh', '$atan', '$atan2',
|
292
|
+
'$atanh', '$ceil', '$clog2', '$cos', '$cosh', '$exp', '$floor',
|
293
|
+
'$hypot', '$ln', '$log10', '$pow', '$sin', '$sinh', '$sqrt',
|
294
|
+
'$tan', '$tanh',
|
295
|
+
# Bit vector system functions (20.9)
|
296
|
+
'$countbits', '$countones', '$isunknown', '$onehot', '$onehot0',
|
297
|
+
# Severity tasks (20.10)
|
298
|
+
'$info', '$error', '$fatal', '$warning',
|
299
|
+
# Assertion control tasks (20.12)
|
300
|
+
'$assertcontrol', '$assertfailoff', '$assertfailon',
|
301
|
+
'$assertkill', '$assertnonvacuouson', '$assertoff', '$asserton',
|
302
|
+
'$assertpassoff', '$assertpasson', '$assertvacuousoff',
|
303
|
+
# Sampled value system functions (20.13)
|
304
|
+
'$changed', '$changed_gclk', '$changing_gclk', '$falling_gclk',
|
305
|
+
'$fell', '$fell_gclk', '$future_gclk', '$past', '$past_gclk',
|
306
|
+
'$rising_gclk', '$rose', '$rose_gclk', '$sampled', '$stable',
|
307
|
+
'$stable_gclk', '$steady_gclk',
|
308
|
+
# Coverage control functions (20.14)
|
309
|
+
'$coverage_control', '$coverage_get', '$coverage_get_max',
|
310
|
+
'$coverage_merge', '$coverage_save', '$get_coverage',
|
311
|
+
'$load_coverage_db', '$set_coverage_db_name',
|
312
|
+
# Probabilistic distribution functions (20.15)
|
313
|
+
'$dist_chi_square', '$dist_erlang', '$dist_exponential',
|
314
|
+
'$dist_normal', '$dist_poisson', '$dist_t', '$dist_uniform',
|
315
|
+
'$random',
|
316
|
+
# Stochastic analysis tasks and functions (20.16)
|
317
|
+
'$q_add', '$q_exam', '$q_full', '$q_initialize', '$q_remove',
|
318
|
+
# PLA modeling tasks (20.17)
|
319
|
+
'$async$and$array', '$async$and$plane', '$async$nand$array',
|
320
|
+
'$async$nand$plane', '$async$nor$array', '$async$nor$plane',
|
321
|
+
'$async$or$array', '$async$or$plane', '$sync$and$array',
|
322
|
+
'$sync$and$plane', '$sync$nand$array', '$sync$nand$plane',
|
323
|
+
'$sync$nor$array', '$sync$nor$plane', '$sync$or$array',
|
324
|
+
'$sync$or$plane',
|
325
|
+
# Miscellaneous tasks and functions (20.18)
|
326
|
+
'$system',
|
327
|
+
# Display tasks (21.2)
|
328
|
+
'$display', '$displayb', '$displayh', '$displayo', '$monitor',
|
329
|
+
'$monitorb', '$monitorh', '$monitoro', '$monitoroff',
|
330
|
+
'$monitoron', '$strobe', '$strobeb', '$strobeh', '$strobeo',
|
331
|
+
'$write', '$writeb', '$writeh', '$writeo',
|
332
|
+
# File I/O tasks and functions (21.3)
|
333
|
+
'$fclose', '$fdisplay', '$fdisplayb', '$fdisplayh',
|
334
|
+
'$fdisplayo', '$feof', '$ferror', '$fflush', '$fgetc', '$fgets',
|
335
|
+
'$fmonitor', '$fmonitorb', '$fmonitorh', '$fmonitoro', '$fopen',
|
336
|
+
'$fread', '$fscanf', '$fseek', '$fstrobe', '$fstrobeb',
|
337
|
+
'$fstrobeh', '$fstrobeo', '$ftell', '$fwrite', '$fwriteb',
|
338
|
+
'$fwriteh', '$fwriteo', '$rewind', '$sformat', '$sformatf',
|
339
|
+
'$sscanf', '$swrite', '$swriteb', '$swriteh', '$swriteo',
|
340
|
+
'$ungetc',
|
341
|
+
# Memory load tasks (21.4)
|
342
|
+
'$readmemb', '$readmemh',
|
343
|
+
# Memory dump tasks (21.5)
|
344
|
+
'$writememb', '$writememh',
|
345
|
+
# Command line input (21.6)
|
346
|
+
'$test$plusargs', '$value$plusargs',
|
347
|
+
# VCD tasks (21.7)
|
348
|
+
'$dumpall', '$dumpfile', '$dumpflush', '$dumplimit', '$dumpoff',
|
349
|
+
'$dumpon', '$dumpports', '$dumpportsall', '$dumpportsflush',
|
350
|
+
'$dumpportslimit', '$dumpportsoff', '$dumpportson', '$dumpvars',
|
351
|
+
), suffix=r'\b'),
|
244
352
|
Name.Builtin),
|
245
353
|
|
246
|
-
(r'(class)(\s+)', bygroups(Keyword, Text), 'classname'),
|
247
|
-
(words((
|
248
|
-
'byte', 'shortint', 'int', 'longint', 'integer', 'time',
|
249
|
-
'bit', 'logic', 'reg', 'supply0', 'supply1', 'tri', 'triand',
|
250
|
-
'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', 'wo'
|
251
|
-
'shortreal', 'real', 'realtime'), suffix=r'\b'),
|
252
|
-
Keyword.Type),
|
253
354
|
(r'[a-zA-Z_]\w*:(?!:)', Name.Label),
|
254
355
|
(r'\$?[a-zA-Z_]\w*', Name),
|
255
|
-
|
256
|
-
'classname': [
|
257
|
-
(r'[a-zA-Z_]\w*', Name.Class, '#pop'),
|
356
|
+
(r'\\(\S+)', Name),
|
258
357
|
],
|
259
358
|
'string': [
|
260
359
|
(r'"', String, '#pop'),
|
@@ -276,15 +375,6 @@ class SystemVerilogLexer(RegexLexer):
|
|
276
375
|
]
|
277
376
|
}
|
278
377
|
|
279
|
-
def get_tokens_unprocessed(self, text):
|
280
|
-
for index, token, value in \
|
281
|
-
RegexLexer.get_tokens_unprocessed(self, text):
|
282
|
-
# Convention: mark all upper case names as constants
|
283
|
-
if token is Name:
|
284
|
-
if value.isupper():
|
285
|
-
token = Name.Constant
|
286
|
-
yield index, token, value
|
287
|
-
|
288
378
|
|
289
379
|
class VhdlLexer(RegexLexer):
|
290
380
|
"""
|
@@ -5,12 +5,10 @@
|
|
5
5
|
|
6
6
|
Lexers for hexadecimal dumps.
|
7
7
|
|
8
|
-
:copyright: Copyright 2006-
|
8
|
+
:copyright: Copyright 2006-2020 by the Pygments team, see AUTHORS.
|
9
9
|
:license: BSD, see LICENSE for details.
|
10
10
|
"""
|
11
11
|
|
12
|
-
import re
|
13
|
-
|
14
12
|
from pygments.lexer import RegexLexer, bygroups, include
|
15
13
|
from pygments.token import Text, Name, Number, String, Punctuation
|
16
14
|
|
@@ -36,7 +34,7 @@ class HexdumpLexer(RegexLexer):
|
|
36
34
|
* ``od -t x1z FILE``
|
37
35
|
* ``xxd FILE``
|
38
36
|
* ``DEBUG.EXE FILE.COM`` and entering ``d`` to the prompt.
|
39
|
-
|
37
|
+
|
40
38
|
.. versionadded:: 2.1
|
41
39
|
"""
|
42
40
|
name = 'Hexdump'
|
@@ -48,12 +46,17 @@ class HexdumpLexer(RegexLexer):
|
|
48
46
|
'root': [
|
49
47
|
(r'\n', Text),
|
50
48
|
include('offset'),
|
51
|
-
(r'('+hd+r'{2})(\-)('+hd+r'{2})',
|
49
|
+
(r'('+hd+r'{2})(\-)('+hd+r'{2})',
|
50
|
+
bygroups(Number.Hex, Punctuation, Number.Hex)),
|
52
51
|
(hd+r'{2}', Number.Hex),
|
53
|
-
(r'(\s{2,3})(\>)(.{16})(\<)$',
|
54
|
-
|
55
|
-
(r'(\s{2,3})(
|
56
|
-
|
52
|
+
(r'(\s{2,3})(\>)(.{16})(\<)$',
|
53
|
+
bygroups(Text, Punctuation, String, Punctuation), 'bracket-strings'),
|
54
|
+
(r'(\s{2,3})(\|)(.{16})(\|)$',
|
55
|
+
bygroups(Text, Punctuation, String, Punctuation), 'piped-strings'),
|
56
|
+
(r'(\s{2,3})(\>)(.{1,15})(\<)$',
|
57
|
+
bygroups(Text, Punctuation, String, Punctuation)),
|
58
|
+
(r'(\s{2,3})(\|)(.{1,15})(\|)$',
|
59
|
+
bygroups(Text, Punctuation, String, Punctuation)),
|
57
60
|
(r'(\s{2,3})(.{1,15})$', bygroups(Text, String)),
|
58
61
|
(r'(\s{2,3})(.{16}|.{20})$', bygroups(Text, String), 'nonpiped-strings'),
|
59
62
|
(r'\s', Text),
|
@@ -72,7 +75,8 @@ class HexdumpLexer(RegexLexer):
|
|
72
75
|
(r'\n', Text),
|
73
76
|
include('offset'),
|
74
77
|
(hd+r'{2}', Number.Hex),
|
75
|
-
(r'(\s{2,3})(\|)(.{1,16})(\|)$',
|
78
|
+
(r'(\s{2,3})(\|)(.{1,16})(\|)$',
|
79
|
+
bygroups(Text, Punctuation, String, Punctuation)),
|
76
80
|
(r'\s', Text),
|
77
81
|
(r'^\*', Punctuation),
|
78
82
|
],
|
@@ -80,14 +84,16 @@ class HexdumpLexer(RegexLexer):
|
|
80
84
|
(r'\n', Text),
|
81
85
|
include('offset'),
|
82
86
|
(hd+r'{2}', Number.Hex),
|
83
|
-
(r'(\s{2,3})(\>)(.{1,16})(\<)$',
|
87
|
+
(r'(\s{2,3})(\>)(.{1,16})(\<)$',
|
88
|
+
bygroups(Text, Punctuation, String, Punctuation)),
|
84
89
|
(r'\s', Text),
|
85
90
|
(r'^\*', Punctuation),
|
86
91
|
],
|
87
92
|
'nonpiped-strings': [
|
88
93
|
(r'\n', Text),
|
89
94
|
include('offset'),
|
90
|
-
(r'('+hd+r'{2})(\-)('+hd+r'{2})',
|
95
|
+
(r'('+hd+r'{2})(\-)('+hd+r'{2})',
|
96
|
+
bygroups(Number.Hex, Punctuation, Number.Hex)),
|
91
97
|
(hd+r'{2}', Number.Hex),
|
92
98
|
(r'(\s{19,})(.{1,20}?)$', bygroups(Text, String)),
|
93
99
|
(r'(\s{2,3})(.{1,20})$', bygroups(Text, String)),
|
@@ -5,7 +5,7 @@
|
|
5
5
|
|
6
6
|
Lexers for HTML, XML and related markup.
|
7
7
|
|
8
|
-
:copyright: Copyright 2006-
|
8
|
+
:copyright: Copyright 2006-2020 by the Pygments team, see AUTHORS.
|
9
9
|
:license: BSD, see LICENSE for details.
|
10
10
|
"""
|
11
11
|
|
@@ -77,12 +77,24 @@ class HtmlLexer(RegexLexer):
|
|
77
77
|
bygroups(Punctuation, Text, Punctuation, Text, Name.Tag, Text,
|
78
78
|
Punctuation), '#pop'),
|
79
79
|
(r'.+?(?=<\s*/\s*script\s*>)', using(JavascriptLexer)),
|
80
|
+
# fallback cases for when there is no closing script tag
|
81
|
+
# first look for newline and then go back into root state
|
82
|
+
# if that fails just read the rest of the file
|
83
|
+
# this is similar to the error handling logic in lexer.py
|
84
|
+
(r'.+?\n', using(JavascriptLexer), '#pop'),
|
85
|
+
(r'.+', using(JavascriptLexer), '#pop'),
|
80
86
|
],
|
81
87
|
'style-content': [
|
82
88
|
(r'(<)(\s*)(/)(\s*)(style)(\s*)(>)',
|
83
89
|
bygroups(Punctuation, Text, Punctuation, Text, Name.Tag, Text,
|
84
90
|
Punctuation),'#pop'),
|
85
91
|
(r'.+?(?=<\s*/\s*style\s*>)', using(CssLexer)),
|
92
|
+
# fallback cases for when there is no closing style tag
|
93
|
+
# first look for newline and then go back into root state
|
94
|
+
# if that fails just read the rest of the file
|
95
|
+
# this is similar to the error handling logic in lexer.py
|
96
|
+
(r'.+?\n', using(CssLexer), '#pop'),
|
97
|
+
(r'.+', using(CssLexer), '#pop'),
|
86
98
|
],
|
87
99
|
'attr': [
|
88
100
|
('".*?"', String, '#pop'),
|
@@ -220,7 +232,7 @@ class XmlLexer(RegexLexer):
|
|
220
232
|
(r'/?\s*>', Name.Tag, '#pop'),
|
221
233
|
],
|
222
234
|
'attr': [
|
223
|
-
('\s+', Text),
|
235
|
+
(r'\s+', Text),
|
224
236
|
('".*?"', String, '#pop'),
|
225
237
|
("'.*?'", String, '#pop'),
|
226
238
|
(r'[^\s>]+', String, '#pop'),
|
@@ -244,7 +256,7 @@ class XsltLexer(XmlLexer):
|
|
244
256
|
filenames = ['*.xsl', '*.xslt', '*.xpl'] # xpl is XProc
|
245
257
|
mimetypes = ['application/xsl+xml', 'application/xslt+xml']
|
246
258
|
|
247
|
-
EXTRA_KEYWORDS =
|
259
|
+
EXTRA_KEYWORDS = {
|
248
260
|
'apply-imports', 'apply-templates', 'attribute',
|
249
261
|
'attribute-set', 'call-template', 'choose', 'comment',
|
250
262
|
'copy', 'copy-of', 'decimal-format', 'element', 'fallback',
|
@@ -253,7 +265,7 @@ class XsltLexer(XmlLexer):
|
|
253
265
|
'preserve-space', 'processing-instruction', 'sort',
|
254
266
|
'strip-space', 'stylesheet', 'template', 'text', 'transform',
|
255
267
|
'value-of', 'variable', 'when', 'with-param'
|
256
|
-
|
268
|
+
}
|
257
269
|
|
258
270
|
def get_tokens_unprocessed(self, text):
|
259
271
|
for index, token, value in XmlLexer.get_tokens_unprocessed(self, text):
|
@@ -313,7 +325,7 @@ class HamlLexer(ExtendedRegexLexer):
|
|
313
325
|
include('css'),
|
314
326
|
(r'%[\w:-]+', Name.Tag, 'tag'),
|
315
327
|
(r'!!!' + _dot + r'*\n', Name.Namespace, '#pop'),
|
316
|
-
(r'(/)(\[' + _dot + '*?\])(' + _dot + r'*\n)',
|
328
|
+
(r'(/)(\[' + _dot + r'*?\])(' + _dot + r'*\n)',
|
317
329
|
bygroups(Comment, Comment.Special, Comment),
|
318
330
|
'#pop'),
|
319
331
|
(r'/' + _dot + r'*\n', _starts_block(Comment, 'html-comment-block'),
|
@@ -330,8 +342,8 @@ class HamlLexer(ExtendedRegexLexer):
|
|
330
342
|
|
331
343
|
'tag': [
|
332
344
|
include('css'),
|
333
|
-
(r'\{(,\n|' + _dot + ')*?\}', using(RubyLexer)),
|
334
|
-
(r'\[' + _dot + '*?\]', using(RubyLexer)),
|
345
|
+
(r'\{(,\n|' + _dot + r')*?\}', using(RubyLexer)),
|
346
|
+
(r'\[' + _dot + r'*?\]', using(RubyLexer)),
|
335
347
|
(r'\(', Text, 'html-attributes'),
|
336
348
|
(r'/[ \t]*\n', Punctuation, '#pop:2'),
|
337
349
|
(r'[<>]{1,2}(?=[ \t=])', Punctuation),
|
@@ -340,7 +352,7 @@ class HamlLexer(ExtendedRegexLexer):
|
|
340
352
|
|
341
353
|
'plain': [
|
342
354
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Text),
|
343
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
355
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
344
356
|
bygroups(String.Interpol, using(RubyLexer), String.Interpol)),
|
345
357
|
(r'\n', Text, 'root'),
|
346
358
|
],
|
@@ -373,7 +385,7 @@ class HamlLexer(ExtendedRegexLexer):
|
|
373
385
|
|
374
386
|
'filter-block': [
|
375
387
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Name.Decorator),
|
376
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
388
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
377
389
|
bygroups(String.Interpol, using(RubyLexer), String.Interpol)),
|
378
390
|
(r'\n', Text, 'root'),
|
379
391
|
],
|
@@ -422,7 +434,7 @@ class ScamlLexer(ExtendedRegexLexer):
|
|
422
434
|
include('css'),
|
423
435
|
(r'%[\w:-]+', Name.Tag, 'tag'),
|
424
436
|
(r'!!!' + _dot + r'*\n', Name.Namespace, '#pop'),
|
425
|
-
(r'(/)(\[' + _dot + '*?\])(' + _dot + r'*\n)',
|
437
|
+
(r'(/)(\[' + _dot + r'*?\])(' + _dot + r'*\n)',
|
426
438
|
bygroups(Comment, Comment.Special, Comment),
|
427
439
|
'#pop'),
|
428
440
|
(r'/' + _dot + r'*\n', _starts_block(Comment, 'html-comment-block'),
|
@@ -442,8 +454,8 @@ class ScamlLexer(ExtendedRegexLexer):
|
|
442
454
|
|
443
455
|
'tag': [
|
444
456
|
include('css'),
|
445
|
-
(r'\{(,\n|' + _dot + ')*?\}', using(ScalaLexer)),
|
446
|
-
(r'\[' + _dot + '*?\]', using(ScalaLexer)),
|
457
|
+
(r'\{(,\n|' + _dot + r')*?\}', using(ScalaLexer)),
|
458
|
+
(r'\[' + _dot + r'*?\]', using(ScalaLexer)),
|
447
459
|
(r'\(', Text, 'html-attributes'),
|
448
460
|
(r'/[ \t]*\n', Punctuation, '#pop:2'),
|
449
461
|
(r'[<>]{1,2}(?=[ \t=])', Punctuation),
|
@@ -452,7 +464,7 @@ class ScamlLexer(ExtendedRegexLexer):
|
|
452
464
|
|
453
465
|
'plain': [
|
454
466
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Text),
|
455
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
467
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
456
468
|
bygroups(String.Interpol, using(ScalaLexer), String.Interpol)),
|
457
469
|
(r'\n', Text, 'root'),
|
458
470
|
],
|
@@ -485,7 +497,7 @@ class ScamlLexer(ExtendedRegexLexer):
|
|
485
497
|
|
486
498
|
'filter-block': [
|
487
499
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Name.Decorator),
|
488
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
500
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
489
501
|
bygroups(String.Interpol, using(ScalaLexer), String.Interpol)),
|
490
502
|
(r'\n', Text, 'root'),
|
491
503
|
],
|
@@ -530,7 +542,7 @@ class PugLexer(ExtendedRegexLexer):
|
|
530
542
|
'content': [
|
531
543
|
include('css'),
|
532
544
|
(r'!!!' + _dot + r'*\n', Name.Namespace, '#pop'),
|
533
|
-
(r'(/)(\[' + _dot + '*?\])(' + _dot + r'*\n)',
|
545
|
+
(r'(/)(\[' + _dot + r'*?\])(' + _dot + r'*\n)',
|
534
546
|
bygroups(Comment, Comment.Special, Comment),
|
535
547
|
'#pop'),
|
536
548
|
(r'/' + _dot + r'*\n', _starts_block(Comment, 'html-comment-block'),
|
@@ -551,8 +563,8 @@ class PugLexer(ExtendedRegexLexer):
|
|
551
563
|
|
552
564
|
'tag': [
|
553
565
|
include('css'),
|
554
|
-
(r'\{(,\n|' + _dot + ')*?\}', using(ScalaLexer)),
|
555
|
-
(r'\[' + _dot + '*?\]', using(ScalaLexer)),
|
566
|
+
(r'\{(,\n|' + _dot + r')*?\}', using(ScalaLexer)),
|
567
|
+
(r'\[' + _dot + r'*?\]', using(ScalaLexer)),
|
556
568
|
(r'\(', Text, 'html-attributes'),
|
557
569
|
(r'/[ \t]*\n', Punctuation, '#pop:2'),
|
558
570
|
(r'[<>]{1,2}(?=[ \t=])', Punctuation),
|
@@ -561,7 +573,7 @@ class PugLexer(ExtendedRegexLexer):
|
|
561
573
|
|
562
574
|
'plain': [
|
563
575
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Text),
|
564
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
576
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
565
577
|
bygroups(String.Interpol, using(ScalaLexer), String.Interpol)),
|
566
578
|
(r'\n', Text, 'root'),
|
567
579
|
],
|
@@ -594,7 +606,7 @@ class PugLexer(ExtendedRegexLexer):
|
|
594
606
|
|
595
607
|
'filter-block': [
|
596
608
|
(r'([^#\n]|#[^{\n]|(\\\\)*\\#\{)+', Name.Decorator),
|
597
|
-
(r'(#\{)(' + _dot + '*?)(\})',
|
609
|
+
(r'(#\{)(' + _dot + r'*?)(\})',
|
598
610
|
bygroups(String.Interpol, using(ScalaLexer), String.Interpol)),
|
599
611
|
(r'\n', Text, 'root'),
|
600
612
|
],
|