origen_testers 0.13.2 → 0.14.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (262) hide show
  1. checksums.yaml +4 -4
  2. data/config/application.rb +151 -151
  3. data/config/boot.rb +13 -13
  4. data/config/commands.rb +85 -85
  5. data/config/users.rb +18 -18
  6. data/config/version.rb +8 -8
  7. data/lib/commands/build.rb +69 -69
  8. data/lib/commands/run.rb +48 -48
  9. data/lib/origen_testers.rb +48 -47
  10. data/lib/origen_testers/api.rb +385 -381
  11. data/lib/origen_testers/basic_test_setups.rb +105 -105
  12. data/lib/origen_testers/callback_handlers.rb +59 -59
  13. data/lib/origen_testers/command_based_tester.rb +45 -45
  14. data/lib/origen_testers/flow.rb +189 -382
  15. data/lib/origen_testers/generator.rb +283 -283
  16. data/lib/origen_testers/generator/identity_map.rb +23 -23
  17. data/lib/origen_testers/generator/placeholder.rb +11 -11
  18. data/lib/origen_testers/generator/test_numberer.rb +23 -23
  19. data/lib/origen_testers/igxl_based_tester.rb +12 -12
  20. data/lib/origen_testers/igxl_based_tester/base.rb +1100 -1100
  21. data/lib/origen_testers/igxl_based_tester/base/ac_specsets.rb +79 -79
  22. data/lib/origen_testers/igxl_based_tester/base/custom_test_instance.rb +169 -169
  23. data/lib/origen_testers/igxl_based_tester/base/dc_specsets.rb +98 -98
  24. data/lib/origen_testers/igxl_based_tester/base/edge.rb +60 -60
  25. data/lib/origen_testers/igxl_based_tester/base/edges.rb +24 -24
  26. data/lib/origen_testers/igxl_based_tester/base/edgeset.rb +39 -39
  27. data/lib/origen_testers/igxl_based_tester/base/edgesets.rb +130 -130
  28. data/lib/origen_testers/igxl_based_tester/base/flow.rb +401 -460
  29. data/lib/origen_testers/igxl_based_tester/base/flow_line.rb +279 -276
  30. data/lib/origen_testers/igxl_based_tester/base/generator.rb +607 -607
  31. data/lib/origen_testers/igxl_based_tester/base/global_specs.rb +83 -83
  32. data/lib/origen_testers/igxl_based_tester/base/job.rb +75 -75
  33. data/lib/origen_testers/igxl_based_tester/base/jobs.rb +44 -44
  34. data/lib/origen_testers/igxl_based_tester/base/level_io_se.rb +59 -59
  35. data/lib/origen_testers/igxl_based_tester/base/level_supply.rb +39 -39
  36. data/lib/origen_testers/igxl_based_tester/base/levels.rb +31 -31
  37. data/lib/origen_testers/igxl_based_tester/base/levelset.rb +110 -110
  38. data/lib/origen_testers/igxl_based_tester/base/patgroup.rb +109 -109
  39. data/lib/origen_testers/igxl_based_tester/base/patgroups.rb +38 -38
  40. data/lib/origen_testers/igxl_based_tester/base/patset.rb +68 -68
  41. data/lib/origen_testers/igxl_based_tester/base/patset_pattern.rb +56 -56
  42. data/lib/origen_testers/igxl_based_tester/base/patsets.rb +38 -38
  43. data/lib/origen_testers/igxl_based_tester/base/patsubr.rb +68 -68
  44. data/lib/origen_testers/igxl_based_tester/base/patsubr_pattern.rb +56 -56
  45. data/lib/origen_testers/igxl_based_tester/base/patsubrs.rb +38 -38
  46. data/lib/origen_testers/igxl_based_tester/base/pinmap.rb +93 -93
  47. data/lib/origen_testers/igxl_based_tester/base/references.rb +25 -25
  48. data/lib/origen_testers/igxl_based_tester/base/test_instance.rb +322 -322
  49. data/lib/origen_testers/igxl_based_tester/base/test_instance_group.rb +66 -66
  50. data/lib/origen_testers/igxl_based_tester/base/test_instances.rb +212 -212
  51. data/lib/origen_testers/igxl_based_tester/base/test_instances/custom_til.rb +37 -37
  52. data/lib/origen_testers/igxl_based_tester/base/timeset.rb +37 -37
  53. data/lib/origen_testers/igxl_based_tester/base/timesets.rb +49 -49
  54. data/lib/origen_testers/igxl_based_tester/base/timesets_basic.rb +49 -49
  55. data/lib/origen_testers/igxl_based_tester/files.rb +43 -43
  56. data/lib/origen_testers/igxl_based_tester/j750.rb +269 -269
  57. data/lib/origen_testers/igxl_based_tester/j750/custom_test_instance.rb +32 -32
  58. data/lib/origen_testers/igxl_based_tester/j750/flow.rb +10 -10
  59. data/lib/origen_testers/igxl_based_tester/j750/flow_line.rb +19 -19
  60. data/lib/origen_testers/igxl_based_tester/j750/generator.rb +19 -19
  61. data/lib/origen_testers/igxl_based_tester/j750/patgroup.rb +9 -9
  62. data/lib/origen_testers/igxl_based_tester/j750/patgroups.rb +10 -10
  63. data/lib/origen_testers/igxl_based_tester/j750/patset.rb +9 -9
  64. data/lib/origen_testers/igxl_based_tester/j750/patset_pattern.rb +18 -18
  65. data/lib/origen_testers/igxl_based_tester/j750/patsets.rb +10 -10
  66. data/lib/origen_testers/igxl_based_tester/j750/patsubr.rb +9 -9
  67. data/lib/origen_testers/igxl_based_tester/j750/patsubr_pattern.rb +18 -18
  68. data/lib/origen_testers/igxl_based_tester/j750/patsubrs.rb +10 -10
  69. data/lib/origen_testers/igxl_based_tester/j750/test_instance.rb +746 -746
  70. data/lib/origen_testers/igxl_based_tester/j750/test_instance_group.rb +9 -9
  71. data/lib/origen_testers/igxl_based_tester/j750/test_instances.rb +10 -10
  72. data/lib/origen_testers/igxl_based_tester/j750_hpt.rb +34 -34
  73. data/lib/origen_testers/igxl_based_tester/j750_hpt/custom_test_instance.rb +32 -32
  74. data/lib/origen_testers/igxl_based_tester/j750_hpt/flow.rb +9 -9
  75. data/lib/origen_testers/igxl_based_tester/j750_hpt/flow_line.rb +9 -9
  76. data/lib/origen_testers/igxl_based_tester/j750_hpt/generator.rb +19 -19
  77. data/lib/origen_testers/igxl_based_tester/j750_hpt/patgroup.rb +9 -9
  78. data/lib/origen_testers/igxl_based_tester/j750_hpt/patgroups.rb +9 -9
  79. data/lib/origen_testers/igxl_based_tester/j750_hpt/patset.rb +9 -9
  80. data/lib/origen_testers/igxl_based_tester/j750_hpt/patset_pattern.rb +9 -9
  81. data/lib/origen_testers/igxl_based_tester/j750_hpt/patsets.rb +9 -9
  82. data/lib/origen_testers/igxl_based_tester/j750_hpt/patsubr.rb +9 -9
  83. data/lib/origen_testers/igxl_based_tester/j750_hpt/patsubr_pattern.rb +9 -9
  84. data/lib/origen_testers/igxl_based_tester/j750_hpt/patsubrs.rb +9 -9
  85. data/lib/origen_testers/igxl_based_tester/j750_hpt/test_instance.rb +599 -599
  86. data/lib/origen_testers/igxl_based_tester/j750_hpt/test_instance_group.rb +9 -9
  87. data/lib/origen_testers/igxl_based_tester/j750_hpt/test_instances.rb +9 -9
  88. data/lib/origen_testers/igxl_based_tester/parser.rb +102 -102
  89. data/lib/origen_testers/igxl_based_tester/parser/ac_spec.rb +9 -9
  90. data/lib/origen_testers/igxl_based_tester/parser/dc_spec.rb +33 -33
  91. data/lib/origen_testers/igxl_based_tester/parser/dc_specs.rb +48 -48
  92. data/lib/origen_testers/igxl_based_tester/parser/descriptions.rb +339 -339
  93. data/lib/origen_testers/igxl_based_tester/parser/flow.rb +109 -109
  94. data/lib/origen_testers/igxl_based_tester/parser/flow_line.rb +203 -203
  95. data/lib/origen_testers/igxl_based_tester/parser/flows.rb +21 -21
  96. data/lib/origen_testers/igxl_based_tester/parser/pattern_set.rb +92 -92
  97. data/lib/origen_testers/igxl_based_tester/parser/pattern_sets.rb +31 -31
  98. data/lib/origen_testers/igxl_based_tester/parser/test_instance.rb +420 -420
  99. data/lib/origen_testers/igxl_based_tester/parser/test_instances.rb +24 -24
  100. data/lib/origen_testers/igxl_based_tester/parser/timeset.rb +13 -13
  101. data/lib/origen_testers/igxl_based_tester/ultraflex.rb +798 -798
  102. data/lib/origen_testers/igxl_based_tester/ultraflex/ac_specsets.rb +10 -10
  103. data/lib/origen_testers/igxl_based_tester/ultraflex/ate_hardware.rb +949 -949
  104. data/lib/origen_testers/igxl_based_tester/ultraflex/custom_test_instance.rb +36 -36
  105. data/lib/origen_testers/igxl_based_tester/ultraflex/dc_specsets.rb +10 -10
  106. data/lib/origen_testers/igxl_based_tester/ultraflex/edge.rb +9 -9
  107. data/lib/origen_testers/igxl_based_tester/ultraflex/edges.rb +9 -9
  108. data/lib/origen_testers/igxl_based_tester/ultraflex/edgeset.rb +9 -9
  109. data/lib/origen_testers/igxl_based_tester/ultraflex/edgesets.rb +10 -10
  110. data/lib/origen_testers/igxl_based_tester/ultraflex/flow.rb +158 -158
  111. data/lib/origen_testers/igxl_based_tester/ultraflex/flow_line.rb +19 -19
  112. data/lib/origen_testers/igxl_based_tester/ultraflex/generator.rb +19 -19
  113. data/lib/origen_testers/igxl_based_tester/ultraflex/global_specs.rb +10 -10
  114. data/lib/origen_testers/igxl_based_tester/ultraflex/job.rb +9 -9
  115. data/lib/origen_testers/igxl_based_tester/ultraflex/jobs.rb +10 -10
  116. data/lib/origen_testers/igxl_based_tester/ultraflex/level_io_se.rb +9 -9
  117. data/lib/origen_testers/igxl_based_tester/ultraflex/level_supply.rb +9 -9
  118. data/lib/origen_testers/igxl_based_tester/ultraflex/levels.rb +9 -9
  119. data/lib/origen_testers/igxl_based_tester/ultraflex/levelset.rb +10 -10
  120. data/lib/origen_testers/igxl_based_tester/ultraflex/patgroup.rb +9 -9
  121. data/lib/origen_testers/igxl_based_tester/ultraflex/patgroups.rb +10 -10
  122. data/lib/origen_testers/igxl_based_tester/ultraflex/patset.rb +9 -9
  123. data/lib/origen_testers/igxl_based_tester/ultraflex/patset_pattern.rb +18 -18
  124. data/lib/origen_testers/igxl_based_tester/ultraflex/patsets.rb +10 -10
  125. data/lib/origen_testers/igxl_based_tester/ultraflex/patsubr.rb +9 -9
  126. data/lib/origen_testers/igxl_based_tester/ultraflex/patsubr_pattern.rb +18 -18
  127. data/lib/origen_testers/igxl_based_tester/ultraflex/patsubrs.rb +10 -10
  128. data/lib/origen_testers/igxl_based_tester/ultraflex/pinmap.rb +10 -10
  129. data/lib/origen_testers/igxl_based_tester/ultraflex/references.rb +10 -10
  130. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/ac_specsets.txt.erb +0 -0
  131. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/dc_specsets.txt.erb +0 -0
  132. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/edgesets.txt.erb +0 -0
  133. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/global_specs.txt.erb +0 -0
  134. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/jobs.txt.erb +0 -0
  135. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/levelset.txt.erb +0 -0
  136. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/pinmap.txt.erb +0 -0
  137. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/references.txt.erb +0 -0
  138. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/timesets.txt.erb +0 -0
  139. data/lib/origen_testers/igxl_based_tester/ultraflex/templates/timesets_basic.txt.erb +0 -0
  140. data/lib/origen_testers/igxl_based_tester/ultraflex/test_instance.rb +315 -315
  141. data/lib/origen_testers/igxl_based_tester/ultraflex/test_instance_group.rb +9 -9
  142. data/lib/origen_testers/igxl_based_tester/ultraflex/test_instances.rb +10 -10
  143. data/lib/origen_testers/igxl_based_tester/ultraflex/timeset.rb +9 -9
  144. data/lib/origen_testers/igxl_based_tester/ultraflex/timesets.rb +10 -10
  145. data/lib/origen_testers/igxl_based_tester/ultraflex/timesets_basic.rb +10 -10
  146. data/lib/origen_testers/interface.rb +357 -345
  147. data/lib/origen_testers/labview_based_tester.rb +7 -0
  148. data/lib/origen_testers/labview_based_tester/pxie6570.rb +190 -0
  149. data/lib/origen_testers/memory_style.rb +77 -77
  150. data/lib/origen_testers/no_interface.rb +7 -7
  151. data/lib/origen_testers/origen_ext/application/runner.rb +25 -25
  152. data/lib/origen_testers/origen_ext/generator.rb +54 -54
  153. data/lib/origen_testers/origen_ext/generator/flow.rb +91 -91
  154. data/lib/origen_testers/origen_ext/generator/resources.rb +21 -21
  155. data/lib/origen_testers/origen_ext/pins/pin.rb +78 -78
  156. data/lib/origen_testers/origen_ext/pins/pin_collection.rb +84 -84
  157. data/lib/origen_testers/parser.rb +22 -22
  158. data/lib/origen_testers/parser/description_lookup.rb +62 -62
  159. data/lib/origen_testers/parser/searchable_array.rb +30 -30
  160. data/lib/origen_testers/parser/searchable_hash.rb +30 -30
  161. data/lib/origen_testers/pattern_compilers.rb +116 -116
  162. data/lib/origen_testers/pattern_compilers/assembler.rb +88 -88
  163. data/lib/origen_testers/pattern_compilers/job.rb +96 -96
  164. data/lib/origen_testers/pattern_compilers/ultraflex_pattern_compiler.rb +599 -599
  165. data/lib/origen_testers/program_generators.rb +62 -62
  166. data/lib/origen_testers/smartest_based_tester.rb +8 -8
  167. data/lib/origen_testers/smartest_based_tester/base.rb +576 -576
  168. data/lib/origen_testers/smartest_based_tester/base/flow.rb +296 -296
  169. data/lib/origen_testers/smartest_based_tester/base/generator.rb +207 -207
  170. data/lib/origen_testers/smartest_based_tester/base/pattern_compiler.rb +32 -32
  171. data/lib/origen_testers/smartest_based_tester/base/pattern_master.rb +69 -69
  172. data/lib/origen_testers/smartest_based_tester/base/processors/extract_set_variables.rb +22 -22
  173. data/lib/origen_testers/smartest_based_tester/base/test_method.rb +178 -178
  174. data/lib/origen_testers/smartest_based_tester/base/test_methods.rb +77 -77
  175. data/lib/origen_testers/smartest_based_tester/base/test_methods/ac_tml.rb +33 -33
  176. data/lib/origen_testers/smartest_based_tester/base/test_methods/base_tml.rb +38 -38
  177. data/lib/origen_testers/smartest_based_tester/base/test_methods/custom_tml.rb +19 -19
  178. data/lib/origen_testers/smartest_based_tester/base/test_methods/dc_tml.rb +147 -147
  179. data/lib/origen_testers/smartest_based_tester/base/test_methods/limits.rb +65 -65
  180. data/lib/origen_testers/smartest_based_tester/base/test_suite.rb +208 -208
  181. data/lib/origen_testers/smartest_based_tester/base/test_suites.rb +58 -58
  182. data/lib/origen_testers/smartest_based_tester/base/variables_file.rb +38 -38
  183. data/lib/origen_testers/smartest_based_tester/v93k.rb +8 -8
  184. data/lib/origen_testers/smartest_based_tester/v93k/builder.rb +89 -89
  185. data/lib/origen_testers/smartest_based_tester/v93k/builder/flow.rb +181 -181
  186. data/lib/origen_testers/smartest_based_tester/v93k/builder/pattern_master.rb +54 -54
  187. data/lib/origen_testers/smartest_based_tester/v93k/flow.rb +10 -10
  188. data/lib/origen_testers/smartest_based_tester/v93k/generator.rb +19 -19
  189. data/lib/origen_testers/smartest_based_tester/v93k/pattern_compiler.rb +10 -10
  190. data/lib/origen_testers/smartest_based_tester/v93k/pattern_master.rb +10 -10
  191. data/lib/origen_testers/smartest_based_tester/v93k/templates/template.aiv.erb +17 -17
  192. data/lib/origen_testers/smartest_based_tester/v93k/templates/template.pmfl.erb +13 -13
  193. data/lib/origen_testers/smartest_based_tester/v93k/templates/template.tf.erb +236 -236
  194. data/lib/origen_testers/smartest_based_tester/v93k/templates/vars.tf.erb +48 -48
  195. data/lib/origen_testers/smartest_based_tester/v93k/test_method.rb +9 -9
  196. data/lib/origen_testers/smartest_based_tester/v93k/test_methods.rb +9 -9
  197. data/lib/origen_testers/smartest_based_tester/v93k/test_suite.rb +9 -9
  198. data/lib/origen_testers/smartest_based_tester/v93k/test_suites.rb +9 -9
  199. data/lib/origen_testers/smartest_based_tester/v93k/variables_file.rb +10 -10
  200. data/lib/origen_testers/test/basic_interface.rb +17 -17
  201. data/lib/origen_testers/test/block.rb +21 -21
  202. data/lib/origen_testers/test/custom_test_interface.rb +111 -111
  203. data/lib/origen_testers/test/dut.rb +295 -295
  204. data/lib/origen_testers/test/dut2.rb +76 -76
  205. data/lib/origen_testers/test/dut3.rb +244 -244
  206. data/lib/origen_testers/test/interface.rb +503 -503
  207. data/lib/origen_testers/test/nvm.rb +94 -94
  208. data/lib/origen_testers/timing.rb +368 -368
  209. data/lib/origen_testers/vector.rb +207 -207
  210. data/lib/origen_testers/vector_based_tester.rb +41 -41
  211. data/lib/origen_testers/vector_generator.rb +655 -655
  212. data/lib/origen_testers/vector_pipeline.rb +302 -302
  213. data/pattern/bitmap.rb +7 -7
  214. data/pattern/dc_instr.rb +7 -7
  215. data/pattern/delay.rb +7 -7
  216. data/pattern/freq_counter.rb +6 -6
  217. data/pattern/mem_test.rb +8 -8
  218. data/pattern/multi_vector.rb +122 -122
  219. data/pattern/multi_vector_plus1.rb +125 -125
  220. data/pattern/nvm/j750/add_late_pins.rb +3 -3
  221. data/pattern/nvm/j750/iterator_postfix_test_x_bx.rb +8 -8
  222. data/pattern/nvm/j750/iterator_test_x_bx.rb +8 -8
  223. data/pattern/nvm/j750/j750_halt.rb +159 -159
  224. data/pattern/nvm/j750/j750_workout.rb +209 -209
  225. data/pattern/nvm/j750/timing.rb +73 -73
  226. data/pattern/read_write_reg.rb +61 -61
  227. data/pattern/reset.rb +4 -4
  228. data/pattern/single_overlay_store.rb +21 -0
  229. data/pattern/subroutines.rb +69 -69
  230. data/pattern/tester_overlay.rb +61 -61
  231. data/pattern/tester_store.rb +28 -28
  232. data/program/_additional_erase.rb +7 -7
  233. data/program/_efa_resources.rb +7 -7
  234. data/program/_erase.rb +25 -25
  235. data/program/_erase_vfy.rb +5 -5
  236. data/program/_iv_resources.rb +10 -10
  237. data/program/basic_interface.rb +5 -5
  238. data/program/components/_prb1_main.rb +222 -222
  239. data/program/components/_small.rb +15 -0
  240. data/program/components/_temp.rb +6 -6
  241. data/program/custom_tests.rb +10 -10
  242. data/program/flow_control.rb +465 -422
  243. data/program/prb1.rb +11 -11
  244. data/program/prb1_resources.rb +28 -28
  245. data/program/prb2.rb +27 -27
  246. data/program/test.rb +29 -29
  247. data/program/uflex_resources.rb +199 -199
  248. data/templates/example.txt.erb +53 -53
  249. data/templates/j750/program_sheet.txt.erb +9 -9
  250. data/templates/manifest/v93k.yaml.erb +22 -22
  251. data/templates/web/index.md.erb +51 -51
  252. data/templates/web/layouts/_basic.html.erb +15 -15
  253. data/templates/web/partials/_navbar.html.erb +22 -22
  254. data/templates/web/release_notes.md.erb +5 -5
  255. metadata +9 -12
  256. data/lib/origen_testers/smartest_based_tester/base/processors.rb +0 -16
  257. data/lib/origen_testers/smartest_based_tester/base/processors/adjacent_if_combiner.rb +0 -106
  258. data/lib/origen_testers/smartest_based_tester/base/processors/continue_implementer.rb +0 -39
  259. data/lib/origen_testers/smartest_based_tester/base/processors/empty_branch_cleaner.rb +0 -21
  260. data/lib/origen_testers/smartest_based_tester/base/processors/extract_run_flag_table.rb +0 -33
  261. data/lib/origen_testers/smartest_based_tester/base/processors/flag_optimizer.rb +0 -188
  262. data/lib/origen_testers/smartest_based_tester/base/processors/if_ran_cleaner.rb +0 -34
@@ -0,0 +1,7 @@
1
+ module OrigenTesters
2
+ module LabVIEWBasedTester
3
+ autoload :Pxie6570, 'origen_testers/labview_based_tester/pxie6570.rb'
4
+ end
5
+ # Shorter name without LabVIEWBasedTester namespace
6
+ autoload :Pxie6570, 'origen_testers/labview_based_tester/pxie6570.rb'
7
+ end
@@ -0,0 +1,190 @@
1
+ module OrigenTesters
2
+ module LabVIEWBasedTester
3
+ class Pxie6570
4
+ include OrigenTesters::VectorBasedTester
5
+
6
+ attr_accessor :default_source_wave_name, :default_capture_wave_name
7
+
8
+ def initialize
9
+ @pat_extension = 'digipatsrc'
10
+ @capture_started = {}
11
+ @source_started = {}
12
+ @global_label_export = []
13
+ @called_subroutines = []
14
+ @default_capture_wave_name = 'default_capture_waveform'
15
+ @default_source_wave_name = 'default_source_waveform'
16
+ end
17
+
18
+ # Internal method called by Origen
19
+ def pattern_header(options = {})
20
+ microcode 'file_format_version 1.0;'
21
+ @global_label_export.each { |label| microcode "export #{label};" }
22
+ @called_subroutines.each { |sub| microcode "import #{sub};" }
23
+ called_timesets.each do |timeset|
24
+ microcode "timeset #{timeset.name};"
25
+ end
26
+ pin_list = ordered_pins.map(&:name).join(',')
27
+ microcode "pattern #{options[:pattern]} (#{pin_list})"
28
+ microcode '{'
29
+ end
30
+
31
+ # Internal method called by Origen
32
+ def pattern_footer(options = {})
33
+ # add capture/source stop to the end of the pattern
34
+ cycle microcode: 'capture_stop' if @capture_started[:default]
35
+ cycle microcode: 'halt'
36
+ microcode '}'
37
+ end
38
+
39
+ # Internal method called by Origen
40
+ def format_vector(vec)
41
+ timeset = vec.timeset ? " #{vec.timeset.name}" : ''
42
+ pin_vals = vec.pin_vals ? "#{vec.pin_vals} ;" : ''
43
+ microcode = vec.microcode ? vec.microcode : ''
44
+ if vec.repeat > 1
45
+ microcode = "repeat (#{vec.repeat})"
46
+ else
47
+ microcode = vec.microcode ? vec.microcode : ''
48
+ end
49
+ if vec.pin_vals && ($_testers_enable_vector_comments || vector_comments)
50
+ comment = " // #{vec.number}:#{vec.cycle} #{vec.inline_comment}"
51
+ else
52
+ comment = vec.inline_comment.empty? ? '' : " // #{vec.inline_comment}"
53
+ end
54
+
55
+ "#{microcode.ljust(65)}#{timeset.ljust(31)}#{pin_vals}#{comment}"
56
+ end
57
+
58
+ # insert a subroutine call
59
+ # provide optional argument to implement as jump instead of call:
60
+ #
61
+ # @example
62
+ # tester.call_subroutine 'my_sub', jump: true
63
+ def call_subroutine(name, options = {})
64
+ options = { jump: false }.merge(options)
65
+ @called_subroutines << name.to_s.chomp unless @called_subroutines.include?(name.to_s.chomp)
66
+ local_microcode = ''
67
+ if options[:jump]
68
+ local_microcode = "jump #{name}"
69
+ else
70
+ local_microcode = "call #{name}"
71
+ end
72
+ update_vector microcode: local_microcode, offset: options[:offset]
73
+ end
74
+
75
+ # store/capture the state of the provided pins
76
+ def store(*pins)
77
+ options = pins.last.is_a?(Hash) ? pins.pop : {}
78
+ options = { offset: 0 }.merge(options)
79
+ pins = pins.flatten.compact
80
+
81
+ fail 'For the PXIE6570 you must supply the pins to store/capture' if pins.empty?
82
+ add_capture_start pins, options
83
+
84
+ pins.each do |pin|
85
+ pin.restore_state do
86
+ pin.capture
87
+ update_vector_pin_val pin, offset: options[:offset]
88
+ last_vector(options[:offset]).dont_compress = true
89
+ end
90
+ end
91
+
92
+ update_vector microcode: 'capture', offset: options[:offset]
93
+ end
94
+ alias_method :to_hram, :store
95
+ alias_method :capture, :store
96
+
97
+ def add_microcode_to_first_vec(statement)
98
+ # find the first vector
99
+ i = 0
100
+ i += 1 until stage.bank[i].is_a?(OrigenTesters::Vector)
101
+ first_vector = stage.bank[i]
102
+
103
+ if first_vector.has_microcode? || first_vector.repeat > 1
104
+ v = OrigenTesters::Vector.new
105
+ v.pin_vals = first_vector.pin_vals
106
+ v.timeset = first_vector.timeset
107
+ v.inline_comment = 'added line for opcode insert'
108
+ v.dont_compress = true
109
+ v.microcode = statement
110
+ stage.insert_from_start v, i
111
+
112
+ # decrement repeat count of previous first vector if > 1
113
+ first_vector.repeat -= 1 if first_vector.repeat > 1
114
+ else
115
+ first_vector.microcode = statement
116
+ end
117
+ end
118
+
119
+ def cycle(options = {})
120
+ # handle overlay if requested
121
+ overlay_options = options.key?(:overlay) ? options.delete(:overlay) : {}
122
+ cur_pin_state = nil
123
+ if overlay_options.key?(:pins)
124
+ overlay_options = { change_data: true }.merge(overlay_options)
125
+ unless @source_started[:default]
126
+ add_microcode_to_first_vec "source_start(#{@default_source_wave_name})"
127
+ @source_started[:default] = true
128
+ end
129
+
130
+ # ensure no unwanted repeats on the source line
131
+ options[:dont_compress] = true
132
+
133
+ if overlay_options[:change_data]
134
+ if options[:microcode].nil?
135
+ options[:microcode] = 'source'
136
+ else
137
+ options[:microcode] = options[:microcode] + ', source'
138
+ end
139
+ options[:microcode] = options[:microcode] + ", repeat (#{options[:repeat]})" unless options[:repeat].nil?
140
+ options.delete(:repeat)
141
+ end
142
+
143
+ # set pins to drive data
144
+ cur_pin_state = overlay_options[:pins].state.to_sym
145
+ overlay_options[:pins].drive_mem
146
+ end
147
+ super(options)
148
+ overlay_options[:pins].state = cur_pin_state if overlay_options.key?(:pins)
149
+ end
150
+
151
+ # internal method to avoid needless code duplication
152
+ def add_capture_start(pins, options = {})
153
+ unless @capture_started[:default]
154
+ # add the capture start opcode to the top of the pattern
155
+ add_microcode_to_first_vec "capture_start(#{@default_capture_wave_name})"
156
+ @capture_started[:default] = true
157
+ end
158
+ end
159
+
160
+ # store/capture the provided pins on the next cycle
161
+ def store_next_cycle(*pins)
162
+ options = pins.last.is_a?(Hash) ? pins.pop : {}
163
+ options = { offset: 0 }.merge(options)
164
+ pins = pins.flatten.compact
165
+
166
+ fail 'For the PXIE6570 you must supply the pins to store/capture' if pins.empty?
167
+ add_capture_start pins, options
168
+
169
+ pins.each { |pin| pin.save; pin.capture }
170
+ preset_next_vector(microcode: 'capture') do
171
+ pins.each(&:restore)
172
+ end
173
+ end
174
+ alias_method :store!, :store_next_cycle
175
+
176
+ # add a label to the output pattern
177
+ def label(name, global = false)
178
+ microcode name + ':'
179
+ @global_label_export << name if global
180
+ end
181
+
182
+ # change the capture state character
183
+ def format_pin_state(pin)
184
+ response = super(pin)
185
+ response.sub('C', 'V')
186
+ end
187
+ end
188
+ end
189
+ Pxie6570 = LabVIEWBasedTester::Pxie6570
190
+ end
@@ -1,77 +1,77 @@
1
- module OrigenTesters
2
- class MemoryStyle
3
- attr_reader :pin_id, :size, :bit_order, :format, :trigger, :mode, :data_type
4
-
5
- def initialize
6
- @pin_id = []
7
- @size = []
8
- @bit_order = []
9
- @format = []
10
- @trigger = []
11
- @mode = []
12
- @data_type = []
13
- end
14
-
15
- # Set memory style attributes for the given pin
16
- #
17
- # @example
18
- # mem.pin :tdi, size: 8, trigger: :auto
19
- def pin(*pin_ids)
20
- options = pin_ids.last.is_a?(Hash) ? pin_ids.pop : {}
21
- pin_ids.each_index do |i|
22
- if pin_ids[i].is_a?(Symbol)
23
- pin_ids[i] = dut.pin(pin_ids[i]).name
24
- else
25
- pin_ids[i] = pin_ids[i].name
26
- end
27
- end
28
- @pin_id << pin_ids
29
- @size << options[:size]
30
- @bit_order << options[:bit_order]
31
- @format << options[:format]
32
- @trigger << options[:trigger]
33
- @mode << options[:mode]
34
- @data_type << options[:data_type]
35
- end
36
-
37
- # Get the chronologically last setting for the given pin's attributes
38
- #
39
- # @example
40
- # mem.pin :tdi, size: 1
41
- # mem.pin :tdi, size: 2
42
- #
43
- # my_local_attribute_hash = mem.accumulate_attributes(:tdi)
44
- # # my_local_attribute_hash now is
45
- # # {pin_id: :tdi, size: 2, bit_order: nil, format: nil, trigger: nil}
46
- def accumulate_attributes(pin_id)
47
- a = { pin_id: pin_id }
48
- @pin_id.each_index do |i|
49
- if @pin_id[i].include?(pin_id)
50
- a[:size] = @size[i]
51
- a[:bit_order] = @bit_order[i]
52
- a[:format] = @format[i]
53
- a[:trigger] = @trigger[i]
54
- a[:mode] = @mode[i]
55
- a[:data_type] = @data_type[i]
56
- end
57
- end
58
- a
59
- end
60
-
61
- # Check to see if a given pin exists in this style container
62
- def contains_pin?(pin_id)
63
- contained_pins.include?(pin_id)
64
- end
65
-
66
- # Get an array of pins contained in this style container
67
- def contained_pins
68
- pins = []
69
- @pin_id.each do |a|
70
- a.each do |p|
71
- pins << p
72
- end
73
- end
74
- pins.uniq
75
- end
76
- end
77
- end
1
+ module OrigenTesters
2
+ class MemoryStyle
3
+ attr_reader :pin_id, :size, :bit_order, :format, :trigger, :mode, :data_type
4
+
5
+ def initialize
6
+ @pin_id = []
7
+ @size = []
8
+ @bit_order = []
9
+ @format = []
10
+ @trigger = []
11
+ @mode = []
12
+ @data_type = []
13
+ end
14
+
15
+ # Set memory style attributes for the given pin
16
+ #
17
+ # @example
18
+ # mem.pin :tdi, size: 8, trigger: :auto
19
+ def pin(*pin_ids)
20
+ options = pin_ids.last.is_a?(Hash) ? pin_ids.pop : {}
21
+ pin_ids.each_index do |i|
22
+ if pin_ids[i].is_a?(Symbol)
23
+ pin_ids[i] = dut.pin(pin_ids[i]).name
24
+ else
25
+ pin_ids[i] = pin_ids[i].name
26
+ end
27
+ end
28
+ @pin_id << pin_ids
29
+ @size << options[:size]
30
+ @bit_order << options[:bit_order]
31
+ @format << options[:format]
32
+ @trigger << options[:trigger]
33
+ @mode << options[:mode]
34
+ @data_type << options[:data_type]
35
+ end
36
+
37
+ # Get the chronologically last setting for the given pin's attributes
38
+ #
39
+ # @example
40
+ # mem.pin :tdi, size: 1
41
+ # mem.pin :tdi, size: 2
42
+ #
43
+ # my_local_attribute_hash = mem.accumulate_attributes(:tdi)
44
+ # # my_local_attribute_hash now is
45
+ # # {pin_id: :tdi, size: 2, bit_order: nil, format: nil, trigger: nil}
46
+ def accumulate_attributes(pin_id)
47
+ a = { pin_id: pin_id }
48
+ @pin_id.each_index do |i|
49
+ if @pin_id[i].include?(pin_id)
50
+ a[:size] = @size[i]
51
+ a[:bit_order] = @bit_order[i]
52
+ a[:format] = @format[i]
53
+ a[:trigger] = @trigger[i]
54
+ a[:mode] = @mode[i]
55
+ a[:data_type] = @data_type[i]
56
+ end
57
+ end
58
+ a
59
+ end
60
+
61
+ # Check to see if a given pin exists in this style container
62
+ def contains_pin?(pin_id)
63
+ contained_pins.include?(pin_id)
64
+ end
65
+
66
+ # Get an array of pins contained in this style container
67
+ def contained_pins
68
+ pins = []
69
+ @pin_id.each do |a|
70
+ a.each do |p|
71
+ pins << p
72
+ end
73
+ end
74
+ pins.uniq
75
+ end
76
+ end
77
+ end
@@ -1,7 +1,7 @@
1
- module OrigenTesters
2
- # Origen will instantiate this interface if the application doesn't define one,
3
- # this allows test flows to be generated only
4
- class NoInterface
5
- include OrigenTesters::ProgramGenerators
6
- end
7
- end
1
+ module OrigenTesters
2
+ # Origen will instantiate this interface if the application doesn't define one,
3
+ # this allows test flows to be generated only
4
+ class NoInterface
5
+ include OrigenTesters::ProgramGenerators
6
+ end
7
+ end
@@ -1,25 +1,25 @@
1
- # This shim is temporary to help NXP transition to Origen from
2
- # our original internal version (RGen)
3
- if defined? RGen::ORIGENTRANSITION
4
- require 'rgen/application/runner'
5
- else
6
- require 'origen/application/runner'
7
- end
8
- module Origen
9
- class Application
10
- class Runner
11
- alias_method :orig_launch, :launch
12
- # Patch this to allow write: false to be given as an option when
13
- # generating a test program. When supplied and set to false, the program
14
- # output files will not be written and only a flow model will be generated.
15
- def launch(options = {})
16
- if options.key?(:write)
17
- OrigenTesters::Interface.write = options[:write]
18
- else
19
- OrigenTesters::Interface.write = true
20
- end
21
- orig_launch(options)
22
- end
23
- end
24
- end
25
- end
1
+ # This shim is temporary to help NXP transition to Origen from
2
+ # our original internal version (RGen)
3
+ if defined? RGen::ORIGENTRANSITION
4
+ require 'rgen/application/runner'
5
+ else
6
+ require 'origen/application/runner'
7
+ end
8
+ module Origen
9
+ class Application
10
+ class Runner
11
+ alias_method :orig_launch, :launch
12
+ # Patch this to allow write: false to be given as an option when
13
+ # generating a test program. When supplied and set to false, the program
14
+ # output files will not be written and only a flow model will be generated.
15
+ def launch(options = {})
16
+ if options.key?(:write)
17
+ OrigenTesters::Interface.write = options[:write]
18
+ else
19
+ OrigenTesters::Interface.write = true
20
+ end
21
+ orig_launch(options)
22
+ end
23
+ end
24
+ end
25
+ end
@@ -1,54 +1,54 @@
1
- # This shim is temporary to help NXP transition to Origen from
2
- # our original internal version (RGen)
3
- if defined? RGen::ORIGENTRANSITION
4
- require 'rgen/generator'
5
- else
6
- require 'origen/generator'
7
- end
8
- module Origen
9
- class Generator
10
- include Comparator
11
-
12
- # Makes more sense for this plugin to own this method now
13
- def generate_program(file, options)
14
- Origen.file_handler.resolve_files(file, ignore_with_prefix: '_', default_dir: "#{Origen.root}/program") do |path|
15
- Origen.file_handler.current_file = path
16
- j = Job.new(path, options)
17
- j.pattern = path
18
- j.run
19
- end
20
- Origen.interface.write_files(options)
21
- unless options[:quiet] || !Origen.interface.write?
22
- if options[:referenced_pattern_list]
23
- file = "#{Origen.root}/list/#{options[:referenced_pattern_list]}"
24
- else
25
- file = Origen.config.referenced_pattern_list
26
- end
27
- puts "Referenced pattern list written to: #{Pathname.new(file).relative_path_from(Pathname.pwd)}"
28
- dir = Pathname.new(file).dirname
29
- FileUtils.mkdir_p(dir) unless dir.exist?
30
- File.open(file, 'w') do |f|
31
- pats = Origen.interface.all_pattern_references.map do |name, refs|
32
- refs[:main][:all] + refs[:main][:origen]
33
- end.flatten.uniq.sort
34
- unless pats.empty?
35
- f.puts '# Main patterns'
36
- pats.each { |p| f.puts p }
37
- f.puts
38
- end
39
-
40
- pats = Origen.interface.all_pattern_references.map do |name, refs|
41
- refs[:subroutine][:all] + refs[:subroutine][:origen]
42
- end.flatten.uniq.sort
43
- unless pats.empty?
44
- f.puts '# Subroutine patterns'
45
- pats.each { |p| f.puts p }
46
- end
47
- end
48
- ref_file = File.join(Origen.file_handler.reference_directory, Pathname.new(file).basename)
49
- check_for_changes(file, ref_file)
50
- end
51
- Origen.interface.on_program_completion(options)
52
- end
53
- end
54
- end
1
+ # This shim is temporary to help NXP transition to Origen from
2
+ # our original internal version (RGen)
3
+ if defined? RGen::ORIGENTRANSITION
4
+ require 'rgen/generator'
5
+ else
6
+ require 'origen/generator'
7
+ end
8
+ module Origen
9
+ class Generator
10
+ include Comparator
11
+
12
+ # Makes more sense for this plugin to own this method now
13
+ def generate_program(file, options)
14
+ Origen.file_handler.resolve_files(file, ignore_with_prefix: '_', default_dir: "#{Origen.root}/program") do |path|
15
+ Origen.file_handler.current_file = path
16
+ j = Job.new(path, options)
17
+ j.pattern = path
18
+ j.run
19
+ end
20
+ Origen.interface.write_files(options)
21
+ unless options[:quiet] || !Origen.interface.write?
22
+ if options[:referenced_pattern_list]
23
+ file = "#{Origen.root}/list/#{options[:referenced_pattern_list]}"
24
+ else
25
+ file = Origen.config.referenced_pattern_list
26
+ end
27
+ puts "Referenced pattern list written to: #{Pathname.new(file).relative_path_from(Pathname.pwd)}"
28
+ dir = Pathname.new(file).dirname
29
+ FileUtils.mkdir_p(dir) unless dir.exist?
30
+ File.open(file, 'w') do |f|
31
+ pats = Origen.interface.all_pattern_references.map do |name, refs|
32
+ refs[:main][:all] + refs[:main][:origen]
33
+ end.flatten.uniq.sort
34
+ unless pats.empty?
35
+ f.puts '# Main patterns'
36
+ pats.each { |p| f.puts p }
37
+ f.puts
38
+ end
39
+
40
+ pats = Origen.interface.all_pattern_references.map do |name, refs|
41
+ refs[:subroutine][:all] + refs[:subroutine][:origen]
42
+ end.flatten.uniq.sort
43
+ unless pats.empty?
44
+ f.puts '# Subroutine patterns'
45
+ pats.each { |p| f.puts p }
46
+ end
47
+ end
48
+ ref_file = File.join(Origen.file_handler.reference_directory, Pathname.new(file).basename)
49
+ check_for_changes(file, ref_file)
50
+ end
51
+ Origen.interface.on_program_completion(options)
52
+ end
53
+ end
54
+ end