gtksourceview4 3.2.9-x86-mingw32

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Files changed (379) hide show
  1. checksums.yaml +7 -0
  2. data/COPYING.LIB +502 -0
  3. data/README.md +24 -0
  4. data/Rakefile +61 -0
  5. data/dependency-check/Rakefile +43 -0
  6. data/gtksourceview4.gemspec +45 -0
  7. data/lib/gtksourceview4/deprecated.rb +49 -0
  8. data/lib/gtksourceview4/loader.rb +49 -0
  9. data/lib/gtksourceview4/mark-attributes.rb +29 -0
  10. data/lib/gtksourceview4.rb +56 -0
  11. data/test/run-test.rb +62 -0
  12. data/test/test-mark-attributes.rb +26 -0
  13. data/test/test-source-gutter-renderer.rb +33 -0
  14. data/test/test-source-language-manager.rb +46 -0
  15. data/test/test-source-view.rb +55 -0
  16. data/vendor/local/bin/libgtksourceview-4-0.dll +0 -0
  17. data/vendor/local/include/gtksourceview-4/gtksourceview/completion-providers/words/gtksourcecompletionwords.h +72 -0
  18. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksource-enumtypes.h +107 -0
  19. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksource.h +67 -0
  20. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceautocleanups.h +63 -0
  21. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcebuffer.h +279 -0
  22. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletion.h +156 -0
  23. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletioncontext.h +102 -0
  24. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletioninfo.h +77 -0
  25. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletionitem.h +92 -0
  26. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletionproposal.h +126 -0
  27. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcecompletionprovider.h +166 -0
  28. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceencoding.h +74 -0
  29. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcefile.h +195 -0
  30. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcefileloader.h +140 -0
  31. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcefilesaver.h +168 -0
  32. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcegutter.h +93 -0
  33. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcegutterrenderer.h +272 -0
  34. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcegutterrendererpixbuf.h +91 -0
  35. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcegutterrenderertext.h +92 -0
  36. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceinit.h +40 -0
  37. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcelanguage.h +98 -0
  38. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcelanguagemanager.h +92 -0
  39. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcemap.h +70 -0
  40. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcemark.h +79 -0
  41. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcemarkattributes.h +112 -0
  42. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceprintcompositor.h +223 -0
  43. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceregion.h +127 -0
  44. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcesearchcontext.h +161 -0
  45. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcesearchsettings.h +100 -0
  46. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcespacedrawer.h +144 -0
  47. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestyle.h +54 -0
  48. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestylescheme.h +119 -0
  49. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestyleschemechooser.h +66 -0
  50. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestyleschemechooserbutton.h +64 -0
  51. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestyleschemechooserwidget.h +64 -0
  52. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcestyleschememanager.h +100 -0
  53. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcetag.h +54 -0
  54. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourcetypes.h +73 -0
  55. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceundomanager.h +90 -0
  56. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceutils.h +41 -0
  57. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceversion.h +578 -0
  58. data/vendor/local/include/gtksourceview-4/gtksourceview/gtksourceview.h +266 -0
  59. data/vendor/local/lib/girepository-1.0/GtkSource-4.typelib +0 -0
  60. data/vendor/local/lib/libgtksourceview-4.dll.a +0 -0
  61. data/vendor/local/lib/libgtksourceview-4.la +41 -0
  62. data/vendor/local/lib/pkgconfig/gtksourceview-4.pc +12 -0
  63. data/vendor/local/share/gir-1.0/GtkSource-4.gir +12999 -0
  64. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceBuffer.html +2421 -0
  65. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletion.html +1087 -0
  66. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionContext.html +402 -0
  67. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionInfo.html +207 -0
  68. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionItem.html +497 -0
  69. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionProposal.html +668 -0
  70. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionProvider.html +837 -0
  71. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceCompletionWords.html +343 -0
  72. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceEncoding.html +391 -0
  73. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceFile.html +769 -0
  74. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceFileLoader.html +780 -0
  75. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceFileSaver.html +965 -0
  76. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceGutter.html +438 -0
  77. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceGutterRenderer.html +1708 -0
  78. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceGutterRendererPixbuf.html +369 -0
  79. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceGutterRendererText.html +299 -0
  80. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceLanguage.html +579 -0
  81. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceLanguageManager.html +473 -0
  82. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceMap.html +254 -0
  83. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceMark.html +307 -0
  84. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceMarkAttributes.html +788 -0
  85. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourcePrintCompositor.html +2121 -0
  86. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceRegion.html +870 -0
  87. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceSearchContext.html +1216 -0
  88. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceSearchSettings.html +603 -0
  89. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceSpaceDrawer.html +671 -0
  90. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyle.html +433 -0
  91. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyleScheme.html +396 -0
  92. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyleSchemeChooser.html +214 -0
  93. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyleSchemeChooserButton.html +127 -0
  94. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyleSchemeChooserWidget.html +127 -0
  95. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceStyleSchemeManager.html +460 -0
  96. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceTag.html +179 -0
  97. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceUndoManager.html +460 -0
  98. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/GtkSourceView.html +2369 -0
  99. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/annexes.html +55 -0
  100. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/annotation-glossary.html +74 -0
  101. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-0.html +62 -0
  102. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-10.html +86 -0
  103. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-12.html +34 -0
  104. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-2.html +270 -0
  105. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-4.html +34 -0
  106. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-2-8.html +46 -0
  107. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-0.html +42 -0
  108. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-10.html +170 -0
  109. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-12.html +38 -0
  110. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-14.html +298 -0
  111. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-16.html +106 -0
  112. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-18.html +114 -0
  113. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-20.html +130 -0
  114. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-22.html +106 -0
  115. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-24.html +126 -0
  116. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-3-4.html +34 -0
  117. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-4-0.html +65 -0
  118. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-deprecated.html +30 -0
  119. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-index-full.html +2586 -0
  120. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/api-reference.html +174 -0
  121. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/completion.html +52 -0
  122. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/file-loading-and-saving.html +43 -0
  123. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/general.html +32 -0
  124. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/gtksourceview-4.0-GtkSourceUtils.html +151 -0
  125. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/gtksourceview-4.0-GtkSourceView-Initialization-and-Finalization.html +100 -0
  126. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/gtksourceview-4.0-Version-Information.html +511 -0
  127. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/gtksourceview-4.0.devhelp2 +819 -0
  128. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/gutter.html +49 -0
  129. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/home.png +0 -0
  130. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/index.html +215 -0
  131. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/intro.html +56 -0
  132. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/lang-reference.html +946 -0
  133. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/lang-tutorial.html +652 -0
  134. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/left-insensitive.png +0 -0
  135. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/left.png +0 -0
  136. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/main-classes.html +37 -0
  137. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/misc.html +52 -0
  138. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/object-tree.html +98 -0
  139. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/porting-guide-3-to-4.html +152 -0
  140. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/porting-guide.html +33 -0
  141. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/printing.html +32 -0
  142. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/right-insensitive.png +0 -0
  143. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/right.png +0 -0
  144. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/search-and-replace.html +37 -0
  145. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/style-reference.html +305 -0
  146. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/style.css +483 -0
  147. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/styling.html +49 -0
  148. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/syntax-highlighting-reference.html +49 -0
  149. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/syntax-highlighting.html +37 -0
  150. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/up-insensitive.png +0 -0
  151. data/vendor/local/share/gtk-doc/html/gtksourceview-4.0/up.png +0 -0
  152. data/vendor/local/share/gtksourceview-4/language-specs/R.lang +2198 -0
  153. data/vendor/local/share/gtksourceview-4/language-specs/abnf.lang +109 -0
  154. data/vendor/local/share/gtksourceview-4/language-specs/actionscript.lang +267 -0
  155. data/vendor/local/share/gtksourceview-4/language-specs/ada.lang +220 -0
  156. data/vendor/local/share/gtksourceview-4/language-specs/ansforth94.lang +728 -0
  157. data/vendor/local/share/gtksourceview-4/language-specs/asp.lang +1096 -0
  158. data/vendor/local/share/gtksourceview-4/language-specs/automake.lang +329 -0
  159. data/vendor/local/share/gtksourceview-4/language-specs/awk.lang +137 -0
  160. data/vendor/local/share/gtksourceview-4/language-specs/bennugd.lang +266 -0
  161. data/vendor/local/share/gtksourceview-4/language-specs/bibtex.lang +120 -0
  162. data/vendor/local/share/gtksourceview-4/language-specs/bluespec.lang +187 -0
  163. data/vendor/local/share/gtksourceview-4/language-specs/boo.lang +256 -0
  164. data/vendor/local/share/gtksourceview-4/language-specs/c.lang +343 -0
  165. data/vendor/local/share/gtksourceview-4/language-specs/cg.lang +231 -0
  166. data/vendor/local/share/gtksourceview-4/language-specs/changelog.lang +91 -0
  167. data/vendor/local/share/gtksourceview-4/language-specs/chdr.lang +50 -0
  168. data/vendor/local/share/gtksourceview-4/language-specs/cmake.lang +817 -0
  169. data/vendor/local/share/gtksourceview-4/language-specs/cobol.lang +646 -0
  170. data/vendor/local/share/gtksourceview-4/language-specs/cpp.lang +119 -0
  171. data/vendor/local/share/gtksourceview-4/language-specs/cpphdr.lang +41 -0
  172. data/vendor/local/share/gtksourceview-4/language-specs/csharp.lang +285 -0
  173. data/vendor/local/share/gtksourceview-4/language-specs/css.lang +2411 -0
  174. data/vendor/local/share/gtksourceview-4/language-specs/csv.lang +73 -0
  175. data/vendor/local/share/gtksourceview-4/language-specs/cuda.lang +281 -0
  176. data/vendor/local/share/gtksourceview-4/language-specs/d.lang +317 -0
  177. data/vendor/local/share/gtksourceview-4/language-specs/def.lang +261 -0
  178. data/vendor/local/share/gtksourceview-4/language-specs/desktop.lang +297 -0
  179. data/vendor/local/share/gtksourceview-4/language-specs/diff.lang +84 -0
  180. data/vendor/local/share/gtksourceview-4/language-specs/docbook.lang +475 -0
  181. data/vendor/local/share/gtksourceview-4/language-specs/dosbatch.lang +166 -0
  182. data/vendor/local/share/gtksourceview-4/language-specs/dot.lang +139 -0
  183. data/vendor/local/share/gtksourceview-4/language-specs/dpatch.lang +47 -0
  184. data/vendor/local/share/gtksourceview-4/language-specs/dtd.lang +139 -0
  185. data/vendor/local/share/gtksourceview-4/language-specs/dtl.lang +297 -0
  186. data/vendor/local/share/gtksourceview-4/language-specs/eiffel.lang +238 -0
  187. data/vendor/local/share/gtksourceview-4/language-specs/erlang.lang +262 -0
  188. data/vendor/local/share/gtksourceview-4/language-specs/fcl.lang +113 -0
  189. data/vendor/local/share/gtksourceview-4/language-specs/forth.lang +234 -0
  190. data/vendor/local/share/gtksourceview-4/language-specs/fortran.lang +710 -0
  191. data/vendor/local/share/gtksourceview-4/language-specs/fsharp.lang +324 -0
  192. data/vendor/local/share/gtksourceview-4/language-specs/gap.lang +84 -0
  193. data/vendor/local/share/gtksourceview-4/language-specs/gdb-log.lang +151 -0
  194. data/vendor/local/share/gtksourceview-4/language-specs/genie.lang +237 -0
  195. data/vendor/local/share/gtksourceview-4/language-specs/glsl.lang +482 -0
  196. data/vendor/local/share/gtksourceview-4/language-specs/go.lang +274 -0
  197. data/vendor/local/share/gtksourceview-4/language-specs/groovy.lang +330 -0
  198. data/vendor/local/share/gtksourceview-4/language-specs/gtk-doc.lang +90 -0
  199. data/vendor/local/share/gtksourceview-4/language-specs/gtkrc.lang +115 -0
  200. data/vendor/local/share/gtksourceview-4/language-specs/haddock.lang +161 -0
  201. data/vendor/local/share/gtksourceview-4/language-specs/haskell-literate.lang +58 -0
  202. data/vendor/local/share/gtksourceview-4/language-specs/haskell.lang +227 -0
  203. data/vendor/local/share/gtksourceview-4/language-specs/haxe.lang +237 -0
  204. data/vendor/local/share/gtksourceview-4/language-specs/html.lang +286 -0
  205. data/vendor/local/share/gtksourceview-4/language-specs/idl-exelis.lang +619 -0
  206. data/vendor/local/share/gtksourceview-4/language-specs/idl.lang +153 -0
  207. data/vendor/local/share/gtksourceview-4/language-specs/imagej.lang +783 -0
  208. data/vendor/local/share/gtksourceview-4/language-specs/ini.lang +94 -0
  209. data/vendor/local/share/gtksourceview-4/language-specs/j.lang +239 -0
  210. data/vendor/local/share/gtksourceview-4/language-specs/jade.lang +248 -0
  211. data/vendor/local/share/gtksourceview-4/language-specs/java.lang +188 -0
  212. data/vendor/local/share/gtksourceview-4/language-specs/javascript.lang +354 -0
  213. data/vendor/local/share/gtksourceview-4/language-specs/json.lang +124 -0
  214. data/vendor/local/share/gtksourceview-4/language-specs/julia.lang +376 -0
  215. data/vendor/local/share/gtksourceview-4/language-specs/kotlin.lang +389 -0
  216. data/vendor/local/share/gtksourceview-4/language-specs/language.dtd +72 -0
  217. data/vendor/local/share/gtksourceview-4/language-specs/language.rng +372 -0
  218. data/vendor/local/share/gtksourceview-4/language-specs/language2.rng +481 -0
  219. data/vendor/local/share/gtksourceview-4/language-specs/latex.lang +636 -0
  220. data/vendor/local/share/gtksourceview-4/language-specs/less.lang +793 -0
  221. data/vendor/local/share/gtksourceview-4/language-specs/lex.lang +208 -0
  222. data/vendor/local/share/gtksourceview-4/language-specs/libtool.lang +55 -0
  223. data/vendor/local/share/gtksourceview-4/language-specs/llvm.lang +314 -0
  224. data/vendor/local/share/gtksourceview-4/language-specs/logcat.lang +107 -0
  225. data/vendor/local/share/gtksourceview-4/language-specs/lua.lang +301 -0
  226. data/vendor/local/share/gtksourceview-4/language-specs/m4.lang +1374 -0
  227. data/vendor/local/share/gtksourceview-4/language-specs/makefile.lang +190 -0
  228. data/vendor/local/share/gtksourceview-4/language-specs/mallard.lang +123 -0
  229. data/vendor/local/share/gtksourceview-4/language-specs/markdown.lang +401 -0
  230. data/vendor/local/share/gtksourceview-4/language-specs/matlab.lang +148 -0
  231. data/vendor/local/share/gtksourceview-4/language-specs/maxima.lang +1864 -0
  232. data/vendor/local/share/gtksourceview-4/language-specs/mediawiki.lang +326 -0
  233. data/vendor/local/share/gtksourceview-4/language-specs/meson.lang +132 -0
  234. data/vendor/local/share/gtksourceview-4/language-specs/modelica.lang +261 -0
  235. data/vendor/local/share/gtksourceview-4/language-specs/mxml.lang +64 -0
  236. data/vendor/local/share/gtksourceview-4/language-specs/nemerle.lang +262 -0
  237. data/vendor/local/share/gtksourceview-4/language-specs/netrexx.lang +318 -0
  238. data/vendor/local/share/gtksourceview-4/language-specs/nsis.lang +87 -0
  239. data/vendor/local/share/gtksourceview-4/language-specs/objc.lang +119 -0
  240. data/vendor/local/share/gtksourceview-4/language-specs/objj.lang +127 -0
  241. data/vendor/local/share/gtksourceview-4/language-specs/ocaml.lang +301 -0
  242. data/vendor/local/share/gtksourceview-4/language-specs/ocl.lang +138 -0
  243. data/vendor/local/share/gtksourceview-4/language-specs/octave.lang +1757 -0
  244. data/vendor/local/share/gtksourceview-4/language-specs/ooc.lang +264 -0
  245. data/vendor/local/share/gtksourceview-4/language-specs/opal.lang +342 -0
  246. data/vendor/local/share/gtksourceview-4/language-specs/opencl.lang +599 -0
  247. data/vendor/local/share/gtksourceview-4/language-specs/pascal.lang +267 -0
  248. data/vendor/local/share/gtksourceview-4/language-specs/perl.lang +1044 -0
  249. data/vendor/local/share/gtksourceview-4/language-specs/php.lang +362 -0
  250. data/vendor/local/share/gtksourceview-4/language-specs/pig.lang +292 -0
  251. data/vendor/local/share/gtksourceview-4/language-specs/pkgconfig.lang +61 -0
  252. data/vendor/local/share/gtksourceview-4/language-specs/po.lang +93 -0
  253. data/vendor/local/share/gtksourceview-4/language-specs/prolog.lang +248 -0
  254. data/vendor/local/share/gtksourceview-4/language-specs/protobuf.lang +134 -0
  255. data/vendor/local/share/gtksourceview-4/language-specs/puppet.lang +696 -0
  256. data/vendor/local/share/gtksourceview-4/language-specs/python.lang +475 -0
  257. data/vendor/local/share/gtksourceview-4/language-specs/python3.lang +198 -0
  258. data/vendor/local/share/gtksourceview-4/language-specs/rpmspec.lang +215 -0
  259. data/vendor/local/share/gtksourceview-4/language-specs/rst.lang +141 -0
  260. data/vendor/local/share/gtksourceview-4/language-specs/ruby.lang +748 -0
  261. data/vendor/local/share/gtksourceview-4/language-specs/rust.lang +334 -0
  262. data/vendor/local/share/gtksourceview-4/language-specs/scala.lang +383 -0
  263. data/vendor/local/share/gtksourceview-4/language-specs/scheme.lang +301 -0
  264. data/vendor/local/share/gtksourceview-4/language-specs/scilab.lang +208 -0
  265. data/vendor/local/share/gtksourceview-4/language-specs/scss.lang +878 -0
  266. data/vendor/local/share/gtksourceview-4/language-specs/sh.lang +516 -0
  267. data/vendor/local/share/gtksourceview-4/language-specs/sml.lang +200 -0
  268. data/vendor/local/share/gtksourceview-4/language-specs/sparql.lang +451 -0
  269. data/vendor/local/share/gtksourceview-4/language-specs/sql.lang +617 -0
  270. data/vendor/local/share/gtksourceview-4/language-specs/sweave.lang +66 -0
  271. data/vendor/local/share/gtksourceview-4/language-specs/swift.lang +440 -0
  272. data/vendor/local/share/gtksourceview-4/language-specs/systemverilog.lang +262 -0
  273. data/vendor/local/share/gtksourceview-4/language-specs/t2t.lang +149 -0
  274. data/vendor/local/share/gtksourceview-4/language-specs/tcl.lang +145 -0
  275. data/vendor/local/share/gtksourceview-4/language-specs/tera.lang +142 -0
  276. data/vendor/local/share/gtksourceview-4/language-specs/texinfo.lang +447 -0
  277. data/vendor/local/share/gtksourceview-4/language-specs/thrift.lang +266 -0
  278. data/vendor/local/share/gtksourceview-4/language-specs/toml.lang +282 -0
  279. data/vendor/local/share/gtksourceview-4/language-specs/vala.lang +411 -0
  280. data/vendor/local/share/gtksourceview-4/language-specs/vbnet.lang +247 -0
  281. data/vendor/local/share/gtksourceview-4/language-specs/verilog.lang +475 -0
  282. data/vendor/local/share/gtksourceview-4/language-specs/vhdl.lang +247 -0
  283. data/vendor/local/share/gtksourceview-4/language-specs/xml.lang +219 -0
  284. data/vendor/local/share/gtksourceview-4/language-specs/xslt.lang +120 -0
  285. data/vendor/local/share/gtksourceview-4/language-specs/yacc.lang +176 -0
  286. data/vendor/local/share/gtksourceview-4/language-specs/yaml.lang +213 -0
  287. data/vendor/local/share/gtksourceview-4/styles/classic.xml +122 -0
  288. data/vendor/local/share/gtksourceview-4/styles/cobalt.xml +150 -0
  289. data/vendor/local/share/gtksourceview-4/styles/kate.xml +171 -0
  290. data/vendor/local/share/gtksourceview-4/styles/oblivion.xml +133 -0
  291. data/vendor/local/share/gtksourceview-4/styles/solarized-dark.xml +103 -0
  292. data/vendor/local/share/gtksourceview-4/styles/solarized-light.xml +102 -0
  293. data/vendor/local/share/gtksourceview-4/styles/styles.rng +139 -0
  294. data/vendor/local/share/gtksourceview-4/styles/tango.xml +130 -0
  295. data/vendor/local/share/license/gtksourceview/AUTHORS +15 -0
  296. data/vendor/local/share/license/gtksourceview/COPYING +504 -0
  297. data/vendor/local/share/locale/ar/LC_MESSAGES/gtksourceview-4.mo +0 -0
  298. data/vendor/local/share/locale/as/LC_MESSAGES/gtksourceview-4.mo +0 -0
  299. data/vendor/local/share/locale/ast/LC_MESSAGES/gtksourceview-4.mo +0 -0
  300. data/vendor/local/share/locale/az/LC_MESSAGES/gtksourceview-4.mo +0 -0
  301. data/vendor/local/share/locale/be/LC_MESSAGES/gtksourceview-4.mo +0 -0
  302. data/vendor/local/share/locale/bg/LC_MESSAGES/gtksourceview-4.mo +0 -0
  303. data/vendor/local/share/locale/bn/LC_MESSAGES/gtksourceview-4.mo +0 -0
  304. data/vendor/local/share/locale/bn_IN/LC_MESSAGES/gtksourceview-4.mo +0 -0
  305. data/vendor/local/share/locale/bs/LC_MESSAGES/gtksourceview-4.mo +0 -0
  306. data/vendor/local/share/locale/ca/LC_MESSAGES/gtksourceview-4.mo +0 -0
  307. data/vendor/local/share/locale/ca@valencia/LC_MESSAGES/gtksourceview-4.mo +0 -0
  308. data/vendor/local/share/locale/cs/LC_MESSAGES/gtksourceview-4.mo +0 -0
  309. data/vendor/local/share/locale/cy/LC_MESSAGES/gtksourceview-4.mo +0 -0
  310. data/vendor/local/share/locale/da/LC_MESSAGES/gtksourceview-4.mo +0 -0
  311. data/vendor/local/share/locale/de/LC_MESSAGES/gtksourceview-4.mo +0 -0
  312. data/vendor/local/share/locale/dz/LC_MESSAGES/gtksourceview-4.mo +0 -0
  313. data/vendor/local/share/locale/el/LC_MESSAGES/gtksourceview-4.mo +0 -0
  314. data/vendor/local/share/locale/en@shaw/LC_MESSAGES/gtksourceview-4.mo +0 -0
  315. data/vendor/local/share/locale/en_CA/LC_MESSAGES/gtksourceview-4.mo +0 -0
  316. data/vendor/local/share/locale/en_GB/LC_MESSAGES/gtksourceview-4.mo +0 -0
  317. data/vendor/local/share/locale/eo/LC_MESSAGES/gtksourceview-4.mo +0 -0
  318. data/vendor/local/share/locale/es/LC_MESSAGES/gtksourceview-4.mo +0 -0
  319. data/vendor/local/share/locale/et/LC_MESSAGES/gtksourceview-4.mo +0 -0
  320. data/vendor/local/share/locale/eu/LC_MESSAGES/gtksourceview-4.mo +0 -0
  321. data/vendor/local/share/locale/fa/LC_MESSAGES/gtksourceview-4.mo +0 -0
  322. data/vendor/local/share/locale/fi/LC_MESSAGES/gtksourceview-4.mo +0 -0
  323. data/vendor/local/share/locale/fr/LC_MESSAGES/gtksourceview-4.mo +0 -0
  324. data/vendor/local/share/locale/fur/LC_MESSAGES/gtksourceview-4.mo +0 -0
  325. data/vendor/local/share/locale/ga/LC_MESSAGES/gtksourceview-4.mo +0 -0
  326. data/vendor/local/share/locale/gl/LC_MESSAGES/gtksourceview-4.mo +0 -0
  327. data/vendor/local/share/locale/gu/LC_MESSAGES/gtksourceview-4.mo +0 -0
  328. data/vendor/local/share/locale/he/LC_MESSAGES/gtksourceview-4.mo +0 -0
  329. data/vendor/local/share/locale/hi/LC_MESSAGES/gtksourceview-4.mo +0 -0
  330. data/vendor/local/share/locale/hr/LC_MESSAGES/gtksourceview-4.mo +0 -0
  331. data/vendor/local/share/locale/hu/LC_MESSAGES/gtksourceview-4.mo +0 -0
  332. data/vendor/local/share/locale/id/LC_MESSAGES/gtksourceview-4.mo +0 -0
  333. data/vendor/local/share/locale/it/LC_MESSAGES/gtksourceview-4.mo +0 -0
  334. data/vendor/local/share/locale/ja/LC_MESSAGES/gtksourceview-4.mo +0 -0
  335. data/vendor/local/share/locale/kk/LC_MESSAGES/gtksourceview-4.mo +0 -0
  336. data/vendor/local/share/locale/kn/LC_MESSAGES/gtksourceview-4.mo +0 -0
  337. data/vendor/local/share/locale/ko/LC_MESSAGES/gtksourceview-4.mo +0 -0
  338. data/vendor/local/share/locale/lt/LC_MESSAGES/gtksourceview-4.mo +0 -0
  339. data/vendor/local/share/locale/lv/LC_MESSAGES/gtksourceview-4.mo +0 -0
  340. data/vendor/local/share/locale/mai/LC_MESSAGES/gtksourceview-4.mo +0 -0
  341. data/vendor/local/share/locale/mg/LC_MESSAGES/gtksourceview-4.mo +0 -0
  342. data/vendor/local/share/locale/mk/LC_MESSAGES/gtksourceview-4.mo +0 -0
  343. data/vendor/local/share/locale/ml/LC_MESSAGES/gtksourceview-4.mo +0 -0
  344. data/vendor/local/share/locale/mn/LC_MESSAGES/gtksourceview-4.mo +0 -0
  345. data/vendor/local/share/locale/mr/LC_MESSAGES/gtksourceview-4.mo +0 -0
  346. data/vendor/local/share/locale/ms/LC_MESSAGES/gtksourceview-4.mo +0 -0
  347. data/vendor/local/share/locale/nb/LC_MESSAGES/gtksourceview-4.mo +0 -0
  348. data/vendor/local/share/locale/ne/LC_MESSAGES/gtksourceview-4.mo +0 -0
  349. data/vendor/local/share/locale/nl/LC_MESSAGES/gtksourceview-4.mo +0 -0
  350. data/vendor/local/share/locale/nn/LC_MESSAGES/gtksourceview-4.mo +0 -0
  351. data/vendor/local/share/locale/oc/LC_MESSAGES/gtksourceview-4.mo +0 -0
  352. data/vendor/local/share/locale/or/LC_MESSAGES/gtksourceview-4.mo +0 -0
  353. data/vendor/local/share/locale/pa/LC_MESSAGES/gtksourceview-4.mo +0 -0
  354. data/vendor/local/share/locale/pl/LC_MESSAGES/gtksourceview-4.mo +0 -0
  355. data/vendor/local/share/locale/pt/LC_MESSAGES/gtksourceview-4.mo +0 -0
  356. data/vendor/local/share/locale/pt_BR/LC_MESSAGES/gtksourceview-4.mo +0 -0
  357. data/vendor/local/share/locale/ro/LC_MESSAGES/gtksourceview-4.mo +0 -0
  358. data/vendor/local/share/locale/ru/LC_MESSAGES/gtksourceview-4.mo +0 -0
  359. data/vendor/local/share/locale/rw/LC_MESSAGES/gtksourceview-4.mo +0 -0
  360. data/vendor/local/share/locale/si/LC_MESSAGES/gtksourceview-4.mo +0 -0
  361. data/vendor/local/share/locale/sk/LC_MESSAGES/gtksourceview-4.mo +0 -0
  362. data/vendor/local/share/locale/sl/LC_MESSAGES/gtksourceview-4.mo +0 -0
  363. data/vendor/local/share/locale/sq/LC_MESSAGES/gtksourceview-4.mo +0 -0
  364. data/vendor/local/share/locale/sr/LC_MESSAGES/gtksourceview-4.mo +0 -0
  365. data/vendor/local/share/locale/sr@latin/LC_MESSAGES/gtksourceview-4.mo +0 -0
  366. data/vendor/local/share/locale/sv/LC_MESSAGES/gtksourceview-4.mo +0 -0
  367. data/vendor/local/share/locale/ta/LC_MESSAGES/gtksourceview-4.mo +0 -0
  368. data/vendor/local/share/locale/te/LC_MESSAGES/gtksourceview-4.mo +0 -0
  369. data/vendor/local/share/locale/tg/LC_MESSAGES/gtksourceview-4.mo +0 -0
  370. data/vendor/local/share/locale/th/LC_MESSAGES/gtksourceview-4.mo +0 -0
  371. data/vendor/local/share/locale/tr/LC_MESSAGES/gtksourceview-4.mo +0 -0
  372. data/vendor/local/share/locale/ug/LC_MESSAGES/gtksourceview-4.mo +0 -0
  373. data/vendor/local/share/locale/uk/LC_MESSAGES/gtksourceview-4.mo +0 -0
  374. data/vendor/local/share/locale/vi/LC_MESSAGES/gtksourceview-4.mo +0 -0
  375. data/vendor/local/share/locale/xh/LC_MESSAGES/gtksourceview-4.mo +0 -0
  376. data/vendor/local/share/locale/zh_CN/LC_MESSAGES/gtksourceview-4.mo +0 -0
  377. data/vendor/local/share/locale/zh_HK/LC_MESSAGES/gtksourceview-4.mo +0 -0
  378. data/vendor/local/share/locale/zh_TW/LC_MESSAGES/gtksourceview-4.mo +0 -0
  379. metadata +437 -0
@@ -0,0 +1,266 @@
1
+ <?xml version="1.0" encoding="UTF-8"?>
2
+ <!--
3
+
4
+ Authors: Oscar Torrent Artero, Joseba García Etxebarria
5
+ Copyright (C) 2009 Oscar Torrent Artero <q2dg@yahoo.es>
6
+ Copyright (C) 2010 Joseba García Etxebarria <joseba.gar@gmail.com>
7
+
8
+ GtkSourceView is free software; you can redistribute it and/or
9
+ modify it under the terms of the GNU Lesser General Public
10
+ License as published by the Free Software Foundation; either
11
+ version 2.1 of the License, or (at your option) any later version.
12
+
13
+ GtkSourceView is distributed in the hope that it will be useful,
14
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
15
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
16
+ Lesser General Public License for more details.
17
+
18
+ You should have received a copy of the GNU Lesser General Public License
19
+ along with this library; if not, see <http://www.gnu.org/licenses/>.
20
+
21
+ -->
22
+ <language id="bennugd" name="BennuGD" version="2.0" _section="Source">
23
+ <metadata>
24
+ <property name="globs">*.prg</property>
25
+ <property name="line-comment-start">//</property>
26
+ <property name="block-comment-start">/*</property>
27
+ <property name="block-comment-end">*/</property>
28
+ </metadata>
29
+
30
+ <styles>
31
+ <style id="comment" name="Comment" map-to="def:comment"/>
32
+ <style id="preprocessor" name="Preprocessor" map-to="def:preprocessor"/>
33
+ <style id="identifier" name="Identifier" map-to="def:identifier"/>
34
+ <style id="operator" name="Operator" map-to="def:operator"/>
35
+ <style id="keywords" name="Keyword" map-to="def:keyword"/>
36
+ <style id="types" name="Data Type" map-to="def:type"/>
37
+ <style id="floating-point" name="Floating point number" map-to="def:floating-point"/>
38
+ <style id="decimal" name="Decimal number" map-to="def:decimal"/>
39
+ <style id="hexadecimal" name="Hexadecimal number" map-to="def:base-n-integer"/>
40
+ <style id="boolean" name="Boolean value" map-to="def:boolean"/>
41
+ </styles>
42
+
43
+ <default-regex-options case-sensitive="false" />
44
+ <definitions>
45
+
46
+ <!--regexs-->
47
+ <define-regex id="symbolchar">[-!+\\|=:&amp;&gt;&lt;]</define-regex>
48
+ <define-regex id="preproc-start">^\s*#\s*</define-regex>
49
+
50
+ <!--Preprocessor -->
51
+ <context id="preprocessor" style-ref="preprocessor" end-at-line-end="true">
52
+ <start extended="true">
53
+ \%{preproc-start}
54
+ (define|if(n?def)?|else|elsif|endif)
55
+ \b
56
+ </start>
57
+ <include>
58
+ <context ref="def:line-continue" ignore-style="true"/>
59
+ <context ref="c:string" ignore-style="true"/>
60
+ <context ref="def:c-like-comment"/>
61
+ <context ref="def:c-like-comment-multiline"/>
62
+ </include>
63
+ </context>
64
+
65
+ <context id="boolean" style-ref="boolean">
66
+ <keyword>false</keyword>
67
+ <keyword>true</keyword>
68
+ </context>
69
+
70
+ <context id="float" style-ref="floating-point">
71
+ <match extended="true">
72
+ (?&lt;![\w\.])
73
+ ([0-9]+[Ee][+-]?[0-9]+ |
74
+ ([0-9]*\.[0-9]+ | [0-9]+\.[0-9]*)([Ee][+-]?[0-9]+)?)
75
+ (?![\w\.])
76
+ </match>
77
+ </context>
78
+
79
+ <context id="decimal-number" style-ref="decimal">
80
+ <match extended="true">
81
+ (?&lt;![\w\.])
82
+ [+-]?([1-9][0-9]*|0)
83
+ (?![\w\.])
84
+ </match>
85
+ </context>
86
+
87
+ <context id="hexadecimal-number" style-ref="hexadecimal">
88
+ <match extended="true">
89
+ (?&lt;![\w\.])
90
+ [+-]?0x[0-9a-fA-F]+
91
+ (?![\w\.])
92
+ </match>
93
+ </context>
94
+
95
+ <!--Keywords -->
96
+ <context id="keywords" style-ref="keywords">
97
+ <keyword>begin</keyword>
98
+ <keyword>break</keyword>
99
+ <keyword>call</keyword>
100
+ <keyword>case</keyword>
101
+ <keyword>clone</keyword>
102
+ <keyword>const</keyword>
103
+ <keyword>continue</keyword>
104
+ <keyword>debug</keyword>
105
+ <keyword>declare</keyword>
106
+ <keyword>default</keyword>
107
+ <keyword>dup</keyword>
108
+ <keyword>elif</keyword>
109
+ <keyword>else</keyword>
110
+ <keyword>elseif</keyword>
111
+ <keyword>elsif</keyword>
112
+ <keyword>end</keyword>
113
+ <keyword>error</keyword>
114
+ <keyword>exit</keyword>
115
+ <keyword>for</keyword>
116
+ <keyword>frame</keyword>
117
+ <keyword>from</keyword>
118
+ <keyword>function</keyword>
119
+ <keyword>global</keyword>
120
+ <keyword>goto</keyword>
121
+ <keyword>if</keyword>
122
+ <keyword>import</keyword>
123
+ <keyword>include</keyword>
124
+ <keyword>jmp</keyword>
125
+ <keyword>local</keyword>
126
+ <keyword>loop</keyword>
127
+ <keyword>mod</keyword>
128
+ <keyword>mouse</keyword>
129
+ <keyword>next</keyword>
130
+ <keyword>offset</keyword>
131
+ <keyword>on</keyword>
132
+ <keyword>onerror</keyword>
133
+ <keyword>onexit</keyword>
134
+ <keyword>private</keyword>
135
+ <keyword>process</keyword>
136
+ <keyword>program</keyword>
137
+ <keyword>public</keyword>
138
+ <keyword>repeat</keyword>
139
+ <keyword>resume</keyword>
140
+ <keyword>return</keyword>
141
+ <keyword>sizeof</keyword>
142
+ <keyword>step</keyword>
143
+ <keyword>switch</keyword>
144
+ <keyword>to</keyword>
145
+ <keyword>until</keyword>
146
+ <keyword>while</keyword>
147
+ </context>
148
+
149
+ <context id="globals" style-ref="keywords">
150
+ <keyword>argc</keyword>
151
+ <keyword>argv</keyword>
152
+ <keyword>os_id</keyword>
153
+ </context>
154
+
155
+ <context id="locals" style-ref="keywords">
156
+ <keyword>bigbro</keyword>
157
+ <keyword>father</keyword>
158
+ <keyword>frame_percent</keyword>
159
+ <keyword>id</keyword>
160
+ <keyword>process_type</keyword>
161
+ <keyword>reserved</keyword>
162
+ <keyword>reserved.frame_percent</keyword>
163
+ <keyword>reserved.process_type</keyword>
164
+ <keyword>reserved.saved_priority</keyword>
165
+ <keyword>reserved.saved_status</keyword>
166
+ <keyword>reserved.status</keyword>
167
+ <keyword>saved_priority</keyword>
168
+ <keyword>saved_status</keyword>
169
+ <keyword>smallbro</keyword>
170
+ <keyword>status</keyword>
171
+ <keyword>son</keyword>
172
+ </context>
173
+
174
+ <context id="operators" style-ref="operator" extend-parent="false">
175
+ <prefix>(?&lt;!\%{symbolchar})</prefix>
176
+ <suffix>(?!\%{symbolchar})</suffix>
177
+ <keyword>==</keyword>
178
+ <keyword>!=</keyword>
179
+ <keyword>!</keyword>
180
+ <keyword>&lt;</keyword>
181
+ <keyword>&gt;</keyword>
182
+ <keyword>&lt;=</keyword>
183
+ <keyword>&gt;=</keyword>
184
+ <keyword>&amp;&amp;</keyword>
185
+ <keyword>&amp;</keyword>
186
+ <keyword>=</keyword>
187
+ <keyword>||</keyword>
188
+ <keyword>|</keyword>
189
+ <keyword>^^</keyword>
190
+ <keyword>^</keyword>
191
+ </context>
192
+
193
+ <context id="types" style-ref="types">
194
+ <keyword>byte</keyword>
195
+ <keyword>char</keyword>
196
+ <keyword>dword</keyword>
197
+ <keyword>float</keyword>
198
+ <keyword>int</keyword>
199
+ <keyword>pointer</keyword>
200
+ <keyword>short</keyword>
201
+ <keyword>signed</keyword>
202
+ <keyword>string</keyword>
203
+ <keyword>struct</keyword>
204
+ <keyword>type</keyword>
205
+ <keyword>unsigned</keyword>
206
+ <keyword>word</keyword>
207
+ </context>
208
+
209
+ <context id="commonmacros" style-ref="preprocessor">
210
+ <keyword>COMPILER_VERSION</keyword>
211
+ <keyword>__DATE__</keyword>
212
+ <keyword>__FILE__</keyword>
213
+ <keyword>__LINE__</keyword>
214
+ <keyword>max_byte</keyword>
215
+ <keyword>max_dword</keyword>
216
+ <keyword>max_int</keyword>
217
+ <keyword>max_sbyte</keyword>
218
+ <keyword>max_short</keyword>
219
+ <keyword>max_word</keyword>
220
+ <keyword>min_byte</keyword>
221
+ <keyword>min_dword</keyword>
222
+ <keyword>min_int</keyword>
223
+ <keyword>min_sbyte</keyword>
224
+ <keyword>min_short</keyword>
225
+ <keyword>min_word</keyword>
226
+ <keyword>null</keyword>
227
+ <keyword>os_beos</keyword>
228
+ <keyword>os_bsd</keyword>
229
+ <keyword>os_dc</keyword>
230
+ <keyword>os_gp32</keyword>
231
+ <keyword>os_linux</keyword>
232
+ <keyword>os_macos</keyword>
233
+ <keyword>os_win32</keyword>
234
+ <keyword>status_dead</keyword>
235
+ <keyword>status_frozen</keyword>
236
+ <keyword>status_killed</keyword>
237
+ <keyword>status_running</keyword>
238
+ <keyword>status_sleeping</keyword>
239
+ <keyword>status_waiting</keyword>
240
+ <keyword>__TIME__ </keyword>
241
+ <keyword>__VERSION__</keyword>
242
+ </context>
243
+
244
+ <context id="bennugd" class="no-spell-check">
245
+ <include>
246
+ <context ref="def:c-like-comment"/>
247
+ <context ref="def:c-like-comment-multiline"/>
248
+ <context ref="def:c-like-close-comment-outside-comment"/>
249
+ <context ref="preprocessor"/>
250
+ <context ref="c:string"/>
251
+ <context ref="c:char"/>
252
+ <context ref="boolean"/>
253
+ <context ref="float"/>
254
+ <context ref="decimal-number"/>
255
+ <context ref="hexadecimal-number"/>
256
+ <context ref="keywords"/>
257
+ <context ref="globals"/>
258
+ <context ref="locals"/>
259
+ <context ref="operators"/>
260
+ <context ref="types"/>
261
+ <context ref="commonmacros"/>
262
+ </include>
263
+ </context>
264
+
265
+ </definitions>
266
+ </language>
@@ -0,0 +1,120 @@
1
+ <?xml version="1.0"?>
2
+ <!--
3
+
4
+ This file is part of GtkSourceView
5
+
6
+ Author: Søren Hauberg <hauberg@gmail.com>
7
+ Copyright (C) 2009 Søren Hauberg <hauberg@gmail.com>
8
+
9
+ GtkSourceView is free software; you can redistribute it and/or
10
+ modify it under the terms of the GNU Lesser General Public
11
+ License as published by the Free Software Foundation; either
12
+ version 2.1 of the License, or (at your option) any later version.
13
+
14
+ GtkSourceView is distributed in the hope that it will be useful,
15
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
16
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
17
+ Lesser General Public License for more details.
18
+
19
+ You should have received a copy of the GNU Lesser General Public License
20
+ along with this library; if not, see <http://www.gnu.org/licenses/>.
21
+
22
+ -->
23
+ <language id="bibtex" name="BibTeX" version="2.0" _section="Markup">
24
+ <metadata>
25
+ <property name="mimetypes">text/x-bibtex</property>
26
+ <property name="globs">*.bib</property>
27
+ <property name="line-comment-start">%</property>
28
+ </metadata>
29
+
30
+ <styles>
31
+ <style id="entry-type" name="Entries" map-to="def:keyword"/>
32
+ <style id="field" name="Field" map-to="def:identifier"/>
33
+ <style id="string" name="String" map-to="def:string"/>
34
+ </styles>
35
+
36
+ <default-regex-options case-sensitive="false"/>
37
+
38
+ <definitions>
39
+
40
+ <context id="entry-type" style-ref="entry-type" class="no-spell-check">
41
+ <prefix>@</prefix>
42
+
43
+ <keyword>article</keyword>
44
+ <keyword>book</keyword>
45
+ <keyword>booklet</keyword>
46
+ <keyword>conference</keyword>
47
+ <keyword>inbook</keyword>
48
+ <keyword>incollection</keyword>
49
+ <keyword>inproceedings</keyword>
50
+ <keyword>lambda</keyword>
51
+ <keyword>manual</keyword>
52
+ <keyword>mastersthesis</keyword>
53
+ <keyword>misc</keyword>
54
+ <keyword>online</keyword>
55
+ <keyword>phdthesis</keyword>
56
+ <keyword>proceedings</keyword>
57
+ <keyword>report</keyword>
58
+ <keyword>techreport</keyword>
59
+ <keyword>unpublished</keyword>
60
+ </context>
61
+
62
+ <context id="field" style-ref="field" class="no-spell-check">
63
+ <keyword>address</keyword>
64
+ <keyword>author</keyword>
65
+ <keyword>booktitle</keyword>
66
+ <keyword>chapter</keyword>
67
+ <keyword>crossref</keyword>
68
+ <keyword>doi</keyword>
69
+ <keyword>edition</keyword>
70
+ <keyword>editor</keyword>
71
+ <keyword>eprint</keyword>
72
+ <keyword>eprinttype</keyword>
73
+ <keyword>howpublished</keyword>
74
+ <keyword>institution</keyword>
75
+ <keyword>isbn</keyword>
76
+ <keyword>issn</keyword>
77
+ <keyword>journal</keyword>
78
+ <keyword>key</keyword>
79
+ <keyword>keywords</keyword>
80
+ <keyword>language</keyword>
81
+ <keyword>location</keyword>
82
+ <keyword>month</keyword>
83
+ <keyword>note</keyword>
84
+ <keyword>number</keyword>
85
+ <keyword>numpages</keyword>
86
+ <keyword>organization</keyword>
87
+ <keyword>pages</keyword>
88
+ <keyword>publisher</keyword>
89
+ <keyword>school</keyword>
90
+ <keyword>series</keyword>
91
+ <keyword>subtitle</keyword>
92
+ <keyword>title</keyword>
93
+ <keyword>type</keyword>
94
+ <keyword>url</keyword>
95
+ <keyword>urldate</keyword>
96
+ <keyword>volume</keyword>
97
+ <keyword>year</keyword>
98
+ </context>
99
+
100
+ <context id="string" class-disabled="no-spell-check">
101
+ <match extended="true">
102
+ =\s*(\{.*?\})|(".*?")
103
+ </match>
104
+
105
+ <include>
106
+ <context sub-pattern="1" style-ref="string" class="string"/>
107
+ <context sub-pattern="2" style-ref="string" class="string"/>
108
+ </include>
109
+ </context>
110
+
111
+ <context id="bibtex">
112
+ <include>
113
+ <context ref="latex:latex"/>
114
+ <context ref="entry-type"/>
115
+ <context ref="field"/>
116
+ <context ref="string"/>
117
+ </include>
118
+ </context>
119
+ </definitions>
120
+ </language>
@@ -0,0 +1,187 @@
1
+ <?xml version="1.0" encoding="UTF-8"?>
2
+ <!--
3
+
4
+ Author: Philip Withnall
5
+ Copyright (C) 2012 Philip Withnall
6
+
7
+ GtkSourceView is free software; you can redistribute it and/or
8
+ modify it under the terms of the GNU Lesser General Public
9
+ License as published by the Free Software Foundation; either
10
+ version 2.1 of the License, or (at your option) any later version.
11
+
12
+ GtkSourceView is distributed in the hope that it will be useful,
13
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
14
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
15
+ Lesser General Public License for more details.
16
+
17
+ You should have received a copy of the GNU Lesser General Public License
18
+ along with this library; if not, see <http://www.gnu.org/licenses/>.
19
+
20
+ -->
21
+ <language id="bluespec" name="Bluespec SystemVerilog" version="2.0" _section="Source">
22
+ <metadata>
23
+ <property name="globs">*.bsv</property>
24
+ <property name="line-comment-start">//</property>
25
+ <property name="block-comment-start">/*</property>
26
+ <property name="block-comment-end">*/</property>
27
+ </metadata>
28
+
29
+ <styles>
30
+ <style id="system-task" name="System Task" map-to="def:keyword"/>
31
+ <style id="annotation" name="Annotation" map-to="def:function"/>
32
+ <style id="attribute" name="Attribute" map-to="def:type"/>
33
+ <style id="import-bvi" name="Import BVI" map-to="def:keyword"/>
34
+ <style id="keyword" name="Keyword" map-to="def:keyword"/>
35
+ <style id="type" name="Type" map-to="def:type"/>
36
+ </styles>
37
+
38
+ <definitions>
39
+ <context id="system-task" style-ref="system-task">
40
+ <prefix>\$</prefix>
41
+ <keyword>display</keyword>
42
+ <keyword>dumpoff</keyword>
43
+ <keyword>dumpon</keyword>
44
+ <keyword>dumpvars</keyword>
45
+ <keyword>fclose</keyword>
46
+ <keyword>fdisplay</keyword>
47
+ <keyword>fflush</keyword>
48
+ <keyword>fgetc</keyword>
49
+ <keyword>finish</keyword>
50
+ <keyword>fopen</keyword>
51
+ <keyword>fwrite</keyword>
52
+ <keyword>stime</keyword>
53
+ <keyword>stop</keyword>
54
+ <keyword>test\$plusargs</keyword>
55
+ <keyword>time</keyword>
56
+ <keyword>ungetc</keyword>
57
+ <keyword>write</keyword>
58
+ </context>
59
+
60
+ <define-regex id="attributes-names" extended="true">
61
+ always_enabled|
62
+ always_ready|
63
+ CLK|
64
+ descending_urgency|
65
+ doc|
66
+ enable|
67
+ fire_when_enabled|
68
+ no_implicit_conditions|
69
+ noinline|
70
+ port|
71
+ preempts|
72
+ prefix|
73
+ ready|
74
+ result|
75
+ RST_N|
76
+ synthesize
77
+ </define-regex>
78
+
79
+ <context id="annotation" style-ref="annotation">
80
+ <start>\(\*\s*(\%{attributes-names})</start>
81
+ <end>\*\)</end>
82
+ <include>
83
+ <context sub-pattern="1" where="start" style-ref="attribute"/>
84
+ <context ref="verilog:string"/>
85
+ </include>
86
+ </context>
87
+
88
+ <context id="import-bvi" style-ref="import-bvi">
89
+ <keyword>ancestor</keyword>
90
+ <keyword>clocked_by</keyword>
91
+ <keyword>default_clock</keyword>
92
+ <keyword>default_reset</keyword>
93
+ <keyword>enable</keyword>
94
+ <keyword>input_clock</keyword>
95
+ <keyword>input_reset</keyword>
96
+ <keyword>method</keyword>
97
+ <keyword>no_reset</keyword>
98
+ <keyword>output_clock</keyword>
99
+ <keyword>output_reset</keyword>
100
+ <keyword>parameter</keyword>
101
+ <keyword>path</keyword>
102
+ <keyword>port</keyword>
103
+ <keyword>ready</keyword>
104
+ <keyword>reset_by</keyword>
105
+ <keyword>same_family</keyword>
106
+ <keyword>schedule</keyword>
107
+ </context>
108
+
109
+ <context id="keyword" style-ref="keyword">
110
+ <keyword>action</keyword>
111
+ <keyword>clocked_by</keyword>
112
+ <keyword>deriving</keyword>
113
+ <keyword>endaction</keyword>
114
+ <keyword>endfunction</keyword>
115
+ <keyword>endinterface</keyword>
116
+ <keyword>endmethod</keyword>
117
+ <keyword>endmodule</keyword>
118
+ <keyword>endpackage</keyword>
119
+ <keyword>endrule</keyword>
120
+ <keyword>endrules</keyword>
121
+ <keyword>enum</keyword>
122
+ <keyword>function</keyword>
123
+ <keyword>if</keyword>
124
+ <keyword>import</keyword>
125
+ <keyword>interface</keyword>
126
+ <keyword>let</keyword>
127
+ <keyword>match</keyword>
128
+ <keyword>method</keyword>
129
+ <keyword>module</keyword>
130
+ <keyword>numeric</keyword>
131
+ <keyword>package</keyword>
132
+ <keyword>provisos</keyword>
133
+ <keyword>reset_by</keyword>
134
+ <keyword>rule</keyword>
135
+ <keyword>rules</keyword>
136
+ <keyword>struct</keyword>
137
+ <keyword>tagged</keyword>
138
+ <keyword>type</keyword>
139
+ <keyword>typedef</keyword>
140
+ <keyword>union</keyword>
141
+ </context>
142
+
143
+ <context id="type" style-ref="type">
144
+ <keyword>Action</keyword>
145
+ <keyword>ActionValue</keyword>
146
+ <keyword>Bit</keyword>
147
+ <keyword>Bool</keyword>
148
+ <keyword>int</keyword>
149
+ <keyword>Int</keyword>
150
+ <keyword>Integer</keyword>
151
+ <keyword>Maybe</keyword>
152
+ <keyword>Nat</keyword>
153
+ <keyword>Rules</keyword>
154
+ <keyword>String</keyword>
155
+ <keyword>Tuple[2-7]</keyword>
156
+ <keyword>UInt</keyword>
157
+ </context>
158
+
159
+ <context id="standard-interface" style-ref="type">
160
+ <keyword>Client</keyword>
161
+ <keyword>ClientServer</keyword>
162
+ <keyword>Connectable</keyword>
163
+ <keyword>FIFO</keyword>
164
+ <keyword>FIFOF</keyword>
165
+ <keyword>Get</keyword>
166
+ <keyword>GetPut</keyword>
167
+ <keyword>PulseWire</keyword>
168
+ <keyword>Put</keyword>
169
+ <keyword>Reg</keyword>
170
+ <keyword>Server</keyword>
171
+ <keyword>Wire</keyword>
172
+ </context>
173
+
174
+ <context id="bluespec" class="no-spell-check">
175
+ <include>
176
+ <context ref="system-task"/>
177
+ <context ref="annotation"/>
178
+ <context ref="import-bvi"/>
179
+ <context ref="keyword"/>
180
+ <context ref="type"/>
181
+ <context ref="standard-interface"/>
182
+ <!-- Bluespec includes Verilog as a subset -->
183
+ <context ref="verilog:verilog"/>
184
+ </include>
185
+ </context>
186
+ </definitions>
187
+ </language>