github-linguist-grammars 4.6.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (335) hide show
  1. checksums.yaml +7 -0
  2. data/grammars/file.lasso.json +713 -0
  3. data/grammars/module.ceylon.json +54 -0
  4. data/grammars/objdump.x86asm.json +73 -0
  5. data/grammars/source.Inform7.json +45 -0
  6. data/grammars/source.Kotlin.json +774 -0
  7. data/grammars/source.LS.json +104 -0
  8. data/grammars/source.MCPOST.json +68 -0
  9. data/grammars/source.MOD.json +70 -0
  10. data/grammars/source.abap.json +176 -0
  11. data/grammars/source.abl.json +138 -0
  12. data/grammars/source.actionscript.3.json +1571 -0
  13. data/grammars/source.acucobol.json +16 -0
  14. data/grammars/source.ada.json +140 -0
  15. data/grammars/source.agda.json +254 -0
  16. data/grammars/source.ahk.json +171 -0
  17. data/grammars/source.alloy.json +44 -0
  18. data/grammars/source.ampl.json +157 -0
  19. data/grammars/source.antlr.json +300 -0
  20. data/grammars/source.apache-config.json +352 -0
  21. data/grammars/source.apache-config.mod_perl.json +70 -0
  22. data/grammars/source.apl.json +442 -0
  23. data/grammars/source.applescript.json +1385 -0
  24. data/grammars/source.apt.json +25 -0
  25. data/grammars/source.asm.x86.json +182 -0
  26. data/grammars/source.asp.json +157 -0
  27. data/grammars/source.aspectj.json +963 -0
  28. data/grammars/source.ats.json +170 -0
  29. data/grammars/source.autoit.3.json +132 -0
  30. data/grammars/source.awk.json +388 -0
  31. data/grammars/source.befunge.json +38 -0
  32. data/grammars/source.bf.json +36 -0
  33. data/grammars/source.bison.json +59 -0
  34. data/grammars/source.blitzmax.json +912 -0
  35. data/grammars/source.boo.json +452 -0
  36. data/grammars/source.brightauthorproject.json +29 -0
  37. data/grammars/source.brightscript.json +158 -0
  38. data/grammars/source.bro.json +409 -0
  39. data/grammars/source.bsv.json +283 -0
  40. data/grammars/source.c++.json +364 -0
  41. data/grammars/source.c++.qt.json +37 -0
  42. data/grammars/source.c.ec.json +39 -0
  43. data/grammars/source.c.json +727 -0
  44. data/grammars/source.c.platform.json +154 -0
  45. data/grammars/source.cache.cmake.json +75 -0
  46. data/grammars/source.cake.json +16 -0
  47. data/grammars/source.camlp4.ocaml.json +63 -0
  48. data/grammars/source.capnp.json +93 -0
  49. data/grammars/source.ceylon.json +77 -0
  50. data/grammars/source.cfscript.cfc.json +170 -0
  51. data/grammars/source.cfscript.json +744 -0
  52. data/grammars/source.chapel.json +240 -0
  53. data/grammars/source.cirru.json +66 -0
  54. data/grammars/source.clarion.json +159 -0
  55. data/grammars/source.clips.json +80 -0
  56. data/grammars/source.clojure.json +397 -0
  57. data/grammars/source.cm.json +54 -0
  58. data/grammars/source.cmake.json +181 -0
  59. data/grammars/source.cobol.json +528 -0
  60. data/grammars/source.coffee.json +678 -0
  61. data/grammars/source.compiled-papyrus.json +11 -0
  62. data/grammars/source.cool.json +103 -0
  63. data/grammars/source.coq.json +62 -0
  64. data/grammars/source.crystal.json +2760 -0
  65. data/grammars/source.cs.json +556 -0
  66. data/grammars/source.css.json +630 -0
  67. data/grammars/source.css.less.json +276 -0
  68. data/grammars/source.css.mss.json +256 -0
  69. data/grammars/source.csx.json +16 -0
  70. data/grammars/source.cuda-c++.json +137 -0
  71. data/grammars/source.cython.json +2108 -0
  72. data/grammars/source.d.json +722 -0
  73. data/grammars/source.dart.json +763 -0
  74. data/grammars/source.desktop.json +90 -0
  75. data/grammars/source.diff.json +162 -0
  76. data/grammars/source.dockerfile.json +89 -0
  77. data/grammars/source.dosbatch.json +70 -0
  78. data/grammars/source.dot.json +126 -0
  79. data/grammars/source.dylan.json +217 -0
  80. data/grammars/source.eiffel.json +138 -0
  81. data/grammars/source.elixir.json +737 -0
  82. data/grammars/source.elm.json +355 -0
  83. data/grammars/source.erazor.json +126 -0
  84. data/grammars/source.erlang.json +1674 -0
  85. data/grammars/source.essl.json +50 -0
  86. data/grammars/source.factor.json +260 -0
  87. data/grammars/source.fan.json +188 -0
  88. data/grammars/source.fancy.json +270 -0
  89. data/grammars/source.fish.json +214 -0
  90. data/grammars/source.forth.json +101 -0
  91. data/grammars/source.fortran.json +499 -0
  92. data/grammars/source.fortran.modern.json +226 -0
  93. data/grammars/source.fsharp.json +403 -0
  94. data/grammars/source.gap.json +167 -0
  95. data/grammars/source.gcode.json +25 -0
  96. data/grammars/source.gdscript.json +154 -0
  97. data/grammars/source.gfm.json +1270 -0
  98. data/grammars/source.glsl.json +71 -0
  99. data/grammars/source.gnuplot.json +276 -0
  100. data/grammars/source.go.json +552 -0
  101. data/grammars/source.golo.json +182 -0
  102. data/grammars/source.gosu.2.json +163 -0
  103. data/grammars/source.grace.json +679 -0
  104. data/grammars/source.groovy.gradle.json +73 -0
  105. data/grammars/source.groovy.json +1385 -0
  106. data/grammars/source.harbour.json +450 -0
  107. data/grammars/source.haskell.json +449 -0
  108. data/grammars/source.haxe.2.json +1243 -0
  109. data/grammars/source.hss.1.json +464 -0
  110. data/grammars/source.httpspec.json +287 -0
  111. data/grammars/source.hxml.json +26 -0
  112. data/grammars/source.hy.json +270 -0
  113. data/grammars/source.idl-dlm.json +112 -0
  114. data/grammars/source.idl.json +267 -0
  115. data/grammars/source.idris.json +537 -0
  116. data/grammars/source.ini.json +113 -0
  117. data/grammars/source.inno.json +65 -0
  118. data/grammars/source.io.json +164 -0
  119. data/grammars/source.ioke.json +272 -0
  120. data/grammars/source.isabelle.root.json +68 -0
  121. data/grammars/source.isabelle.theory.json +106 -0
  122. data/grammars/source.j.json +49 -0
  123. data/grammars/source.jade.json +1009 -0
  124. data/grammars/source.jasmin.json +299 -0
  125. data/grammars/source.java-properties.json +97 -0
  126. data/grammars/source.java.json +1026 -0
  127. data/grammars/source.jcl.json +49 -0
  128. data/grammars/source.jflex.json +490 -0
  129. data/grammars/source.jq.json +218 -0
  130. data/grammars/source.js.json +948 -0
  131. data/grammars/source.js.jsx.json +2937 -0
  132. data/grammars/source.js.objj.json +424 -0
  133. data/grammars/source.js.regexp.json +150 -0
  134. data/grammars/source.js.regexp.replacement.json +30 -0
  135. data/grammars/source.json.json +149 -0
  136. data/grammars/source.julia.json +407 -0
  137. data/grammars/source.lean.json +145 -0
  138. data/grammars/source.lid.json +23 -0
  139. data/grammars/source.lilypond.json +623 -0
  140. data/grammars/source.lisp.json +111 -0
  141. data/grammars/source.litcoffee.json +669 -0
  142. data/grammars/source.livescript.json +616 -0
  143. data/grammars/source.llvm.json +71 -0
  144. data/grammars/source.logos.json +31 -0
  145. data/grammars/source.logtalk.json +315 -0
  146. data/grammars/source.loomscript.json +214 -0
  147. data/grammars/source.lsl.json +216 -0
  148. data/grammars/source.lua.json +191 -0
  149. data/grammars/source.makefile.json +474 -0
  150. data/grammars/source.makegen.json +13 -0
  151. data/grammars/source.man.json +53 -0
  152. data/grammars/source.mask.json +701 -0
  153. data/grammars/source.mata.json +133 -0
  154. data/grammars/source.mathematica.json +429 -0
  155. data/grammars/source.matlab.json +776 -0
  156. data/grammars/source.mercury.json +251 -0
  157. data/grammars/source.ml.json +291 -0
  158. data/grammars/source.modelica.json +142 -0
  159. data/grammars/source.modula2.json +103 -0
  160. data/grammars/source.monkey.json +701 -0
  161. data/grammars/source.moonscript.json +149 -0
  162. data/grammars/source.mupad.json +149 -0
  163. data/grammars/source.nant-build.json +86 -0
  164. data/grammars/source.ncl.json +66 -0
  165. data/grammars/source.nemerle.json +137 -0
  166. data/grammars/source.nesc.json +27 -0
  167. data/grammars/source.netlinx.erb.json +47 -0
  168. data/grammars/source.netlinx.json +768 -0
  169. data/grammars/source.nginx.json +797 -0
  170. data/grammars/source.nim.json +1150 -0
  171. data/grammars/source.nim_filter.json +133 -0
  172. data/grammars/source.nimcfg.json +116 -0
  173. data/grammars/source.ninja.json +66 -0
  174. data/grammars/source.nit.json +238 -0
  175. data/grammars/source.nix.json +1200 -0
  176. data/grammars/source.nmml.json +87 -0
  177. data/grammars/source.nsis.json +150 -0
  178. data/grammars/source.objc++.json +19 -0
  179. data/grammars/source.objc.json +918 -0
  180. data/grammars/source.objc.platform.json +277 -0
  181. data/grammars/source.ocaml.json +1426 -0
  182. data/grammars/source.ocamllex.json +305 -0
  183. data/grammars/source.ocamlyacc.json +346 -0
  184. data/grammars/source.octave.json +451 -0
  185. data/grammars/source.ooc.json +692 -0
  186. data/grammars/source.opa.json +206 -0
  187. data/grammars/source.opal.json +415 -0
  188. data/grammars/source.opalsysdefs.json +70 -0
  189. data/grammars/source.opencobol.json +65 -0
  190. data/grammars/source.ox.json +97 -0
  191. data/grammars/source.oz.json +84 -0
  192. data/grammars/source.papyrus-assembly.json +266 -0
  193. data/grammars/source.papyrus.json +231 -0
  194. data/grammars/source.parrot.pir.json +117 -0
  195. data/grammars/source.pascal.json +150 -0
  196. data/grammars/source.perl.6.json +310 -0
  197. data/grammars/source.perl.json +2413 -0
  198. data/grammars/source.php.zephir.json +362 -0
  199. data/grammars/source.pig_latin.json +172 -0
  200. data/grammars/source.pike.json +62 -0
  201. data/grammars/source.plsql.oracle.json +642 -0
  202. data/grammars/source.po.json +232 -0
  203. data/grammars/source.pogoscript.json +85 -0
  204. data/grammars/source.postscript.json +231 -0
  205. data/grammars/source.powershell.json +755 -0
  206. data/grammars/source.processing.json +885 -0
  207. data/grammars/source.prolog.eclipse.json +206 -0
  208. data/grammars/source.prolog.json +292 -0
  209. data/grammars/source.protobuf.json +395 -0
  210. data/grammars/source.pubspec.json +13 -0
  211. data/grammars/source.puppet.json +494 -0
  212. data/grammars/source.purescript.json +818 -0
  213. data/grammars/source.pyjade.json +1010 -0
  214. data/grammars/source.python.django.json +34 -0
  215. data/grammars/source.python.json +1960 -0
  216. data/grammars/source.python.salt.json +13 -0
  217. data/grammars/source.qmake.json +217 -0
  218. data/grammars/source.qml.json +195 -0
  219. data/grammars/source.r.json +203 -0
  220. data/grammars/source.racket.json +119 -0
  221. data/grammars/source.rebol.json +647 -0
  222. data/grammars/source.red.json +652 -0
  223. data/grammars/source.regexp.babel.json +190 -0
  224. data/grammars/source.regexp.python.json +187 -0
  225. data/grammars/source.regexp.spin.json +188 -0
  226. data/grammars/source.ruby.json +1956 -0
  227. data/grammars/source.ruby.rspec.cucumber.steps.json +274 -0
  228. data/grammars/source.rust.json +269 -0
  229. data/grammars/source.sas.json +322 -0
  230. data/grammars/source.sas_log.json +26 -0
  231. data/grammars/source.sass.json +248 -0
  232. data/grammars/source.sbt.json +36 -0
  233. data/grammars/source.scad.json +152 -0
  234. data/grammars/source.scala.json +739 -0
  235. data/grammars/source.scaml.json +466 -0
  236. data/grammars/source.scheme.json +370 -0
  237. data/grammars/source.scilab.json +103 -0
  238. data/grammars/source.scss.json +1321 -0
  239. data/grammars/source.shell.json +1212 -0
  240. data/grammars/source.smali.json +1668 -0
  241. data/grammars/source.smalltalk.json +135 -0
  242. data/grammars/source.smt.json +173 -0
  243. data/grammars/source.sp.json +118 -0
  244. data/grammars/source.sparql.json +23 -0
  245. data/grammars/source.spin.json +936 -0
  246. data/grammars/source.sqf.json +112 -0
  247. data/grammars/source.sql.json +473 -0
  248. data/grammars/source.stata.json +208 -0
  249. data/grammars/source.strings.json +53 -0
  250. data/grammars/source.stylus.json +1021 -0
  251. data/grammars/source.swift.json +965 -0
  252. data/grammars/source.systemverilog.json +1050 -0
  253. data/grammars/source.tcl.json +277 -0
  254. data/grammars/source.tea.json +180 -0
  255. data/grammars/source.thrift.json +704 -0
  256. data/grammars/source.toml.json +327 -0
  257. data/grammars/source.ts.json +1094 -0
  258. data/grammars/source.tsx.json +1324 -0
  259. data/grammars/source.turtle.json +505 -0
  260. data/grammars/source.txl.json +62 -0
  261. data/grammars/source.ucfconstraints.json +72 -0
  262. data/grammars/source.vala.json +814 -0
  263. data/grammars/source.varnish.vcl.json +343 -0
  264. data/grammars/source.vbnet.json +338 -0
  265. data/grammars/source.verilog.json +302 -0
  266. data/grammars/source.vhdl.json +1349 -0
  267. data/grammars/source.viml.json +224 -0
  268. data/grammars/source.webidl.json +814 -0
  269. data/grammars/source.x10.json +68 -0
  270. data/grammars/source.x86asm.json +47 -0
  271. data/grammars/source.xc.json +379 -0
  272. data/grammars/source.xq.json +241 -0
  273. data/grammars/source.xquery.json +193 -0
  274. data/grammars/source.xtend.json +733 -0
  275. data/grammars/source.yaml.json +334 -0
  276. data/grammars/source.yaml.salt.json +348 -0
  277. data/grammars/text.bibtex.json +251 -0
  278. data/grammars/text.cfml.basic.json +736 -0
  279. data/grammars/text.dart-analysis-output.json +162 -0
  280. data/grammars/text.dart-doccomments.json +19 -0
  281. data/grammars/text.elixir.json +45 -0
  282. data/grammars/text.gherkin.feature.json +135 -0
  283. data/grammars/text.groff.json +113 -0
  284. data/grammars/text.haml.json +299 -0
  285. data/grammars/text.html.abl.json +24 -0
  286. data/grammars/text.html.asciidoc.json +492 -0
  287. data/grammars/text.html.asdoc.json +541 -0
  288. data/grammars/text.html.asp.json +58 -0
  289. data/grammars/text.html.basic.json +552 -0
  290. data/grammars/text.html.cfm.json +811 -0
  291. data/grammars/text.html.creole.json +329 -0
  292. data/grammars/text.html.django.json +69 -0
  293. data/grammars/text.html.elixir.json +18 -0
  294. data/grammars/text.html.erb.json +157 -0
  295. data/grammars/text.html.erlang.yaws.json +72 -0
  296. data/grammars/text.html.factor.json +27 -0
  297. data/grammars/text.html.handlebars.json +783 -0
  298. data/grammars/text.html.jsp.json +1203 -0
  299. data/grammars/text.html.liquid.json +35 -0
  300. data/grammars/text.html.mako.json +729 -0
  301. data/grammars/text.html.markdown.source.gfm.apib.json +1297 -0
  302. data/grammars/text.html.markdown.source.gfm.mson.json +1165 -0
  303. data/grammars/text.html.mediawiki.json +972 -0
  304. data/grammars/text.html.php.json +2607 -0
  305. data/grammars/text.html.slash.json +313 -0
  306. data/grammars/text.html.smarty.json +216 -0
  307. data/grammars/text.html.ssp.json +49 -0
  308. data/grammars/text.html.tcl.json +44 -0
  309. data/grammars/text.html.twig.json +1353 -0
  310. data/grammars/text.html.vue.json +642 -0
  311. data/grammars/text.idl-idldoc.json +14 -0
  312. data/grammars/text.junit-test-report.json +92 -0
  313. data/grammars/text.log.latex.json +86 -0
  314. data/grammars/text.python.console.json +25 -0
  315. data/grammars/text.python.traceback.json +46 -0
  316. data/grammars/text.rdoc.json +48 -0
  317. data/grammars/text.restructuredtext.json +561 -0
  318. data/grammars/text.robot.json +73 -0
  319. data/grammars/text.shell-session.json +48 -0
  320. data/grammars/text.slim.json +527 -0
  321. data/grammars/text.tex.json +271 -0
  322. data/grammars/text.tex.latex.beamer.json +63 -0
  323. data/grammars/text.tex.latex.haskell.json +56 -0
  324. data/grammars/text.tex.latex.json +1013 -0
  325. data/grammars/text.tex.latex.memoir.json +99 -0
  326. data/grammars/text.tex.latex.rd.json +117 -0
  327. data/grammars/text.xml.ant.json +202 -0
  328. data/grammars/text.xml.flex-config.json +2634 -0
  329. data/grammars/text.xml.genshi.json +34 -0
  330. data/grammars/text.xml.json +364 -0
  331. data/grammars/text.xml.pom.json +774 -0
  332. data/grammars/text.xml.xsl.json +94 -0
  333. data/grammars/text.zone_file.json +72 -0
  334. data/lib/linguist/grammars.rb +13 -0
  335. metadata +389 -0
@@ -0,0 +1,1050 @@
1
+ {
2
+ "fileTypes": [
3
+ "sv",
4
+ "SV",
5
+ "v",
6
+ "V",
7
+ "svh",
8
+ "SVH",
9
+ "vh",
10
+ "VH"
11
+ ],
12
+ "hidden": true,
13
+ "foldingStartMarker": "(begin)\\s*(//.*)?$",
14
+ "foldingStopMarker": "^\\s*(begin)$",
15
+ "name": "SystemVerilog",
16
+ "patterns": [
17
+ {
18
+ "begin": "\\s*\\b(function|task)\\b(\\s+automatic)?",
19
+ "beginCaptures": {
20
+ "1": {
21
+ "name": "keyword.control.systemverilog"
22
+ },
23
+ "2": {
24
+ "name": "keyword.control.systemverilog"
25
+ }
26
+ },
27
+ "end": ";",
28
+ "patterns": [
29
+ {
30
+ "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*\\s+)?([a-zA-Z_][a-zA-Z0-9_:]*)\\s*(?=\\(|;)",
31
+ "captures": {
32
+ "1": {
33
+ "name": "storage.type.systemverilog"
34
+ },
35
+ "2": {
36
+ "name": "entity.name.function.systemverilog"
37
+ }
38
+ }
39
+ },
40
+ {
41
+ "include": "#port-dir"
42
+ },
43
+ {
44
+ "include": "#base-grammar"
45
+ }
46
+ ],
47
+ "name": "meta.function.systemverilog"
48
+ },
49
+ {
50
+ "match": "\\s*\\b(task)\\s+(automatic)?\\s*(\\w+)\\s*;",
51
+ "captures": {
52
+ "1": {
53
+ "name": "keyword.control.systemverilog"
54
+ },
55
+ "2": {
56
+ "name": "keyword.control.systemverilog"
57
+ },
58
+ "3": {
59
+ "name": "entity.name.function.systemverilog"
60
+ }
61
+ },
62
+ "name": "meta.task.simple.systemverilog"
63
+ },
64
+ {
65
+ "begin": "\\s*\\b(typedef\\s+(struct|enum|union)\\b)\\s*(packed)?\\s*([a-zA-Z_][a-zA-Z0-9_]*)?",
66
+ "beginCaptures": {
67
+ "1": {
68
+ "name": "keyword.control.systemverilog"
69
+ },
70
+ "2": {
71
+ "name": "keyword.control.systemverilog"
72
+ },
73
+ "3": {
74
+ "name": "keyword.control.systemverilog"
75
+ },
76
+ "4": {
77
+ "name": "storage.type.systemverilog"
78
+ }
79
+ },
80
+ "end": "(})\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",
81
+ "endCaptures": {
82
+ "1": {
83
+ "name": "keyword.operator.other.systemverilog"
84
+ },
85
+ "2": {
86
+ "name": "entity.name.function.systemverilog"
87
+ }
88
+ },
89
+ "patterns": [
90
+ {
91
+ "include": "#struct-anonymous"
92
+ },
93
+ {
94
+ "include": "#base-grammar"
95
+ }
96
+ ],
97
+ "name": "meta.typedef.struct.systemverilog"
98
+ },
99
+ {
100
+ "match": "\\s*\\b(typedef\\s+class)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",
101
+ "captures": {
102
+ "1": {
103
+ "name": "keyword.control.systemverilog"
104
+ },
105
+ "2": {
106
+ "name": "entity.name.declaration.systemverilog"
107
+ }
108
+ },
109
+ "name": "meta.typedef.class.systemverilog"
110
+ },
111
+ {
112
+ "begin": "\\s*\\b(typedef)\\b",
113
+ "beginCaptures": {
114
+ "1": {
115
+ "name": "keyword.control.systemverilog"
116
+ }
117
+ },
118
+ "end": "([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=(\\[[a-zA-Z0-9_:\\$\\-\\+]*\\])?;)",
119
+ "endCaptures": {
120
+ "1": {
121
+ "name": "entity.name.function.systemverilog"
122
+ }
123
+ },
124
+ "patterns": [
125
+ {
126
+ "match": "\\b([a-zA-Z_]\\w*)\\s*(#)\\(",
127
+ "captures": {
128
+ "1": {
129
+ "name": "storage.type.userdefined.systemverilog"
130
+ },
131
+ "2": {
132
+ "name": "keyword.operator.param.systemverilog"
133
+ }
134
+ },
135
+ "name": "meta.typedef.class.systemverilog"
136
+ },
137
+ {
138
+ "include": "#base-grammar"
139
+ },
140
+ {
141
+ "include": "#module-binding"
142
+ }
143
+ ],
144
+ "name": "meta.typedef.simple.systemverilog"
145
+ },
146
+ {
147
+ "begin": "\\s*(module)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
148
+ "beginCaptures": {
149
+ "1": {
150
+ "name": "keyword.control.systemverilog"
151
+ },
152
+ "2": {
153
+ "name": "entity.name.type.module.systemverilog"
154
+ }
155
+ },
156
+ "end": ";",
157
+ "endCaptures": {
158
+ "1": {
159
+ "name": "entity.name.function.systemverilog"
160
+ }
161
+ },
162
+ "patterns": [
163
+ {
164
+ "include": "#port-dir"
165
+ },
166
+ {
167
+ "match": "\\s*(parameter)",
168
+ "name": "keyword.other.systemverilog"
169
+ },
170
+ {
171
+ "include": "#base-grammar"
172
+ },
173
+ {
174
+ "include": "#ifmodport"
175
+ }
176
+ ],
177
+ "name": "meta.module.systemverilog"
178
+ },
179
+ {
180
+ "captures": {
181
+ "1": {
182
+ "name": "keyword.control.systemverilog"
183
+ },
184
+ "2": {
185
+ "name": "entity.name.function.systemverilog"
186
+ }
187
+ },
188
+ "match": "\\b(sequence)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
189
+ "name": "meta.sequence.systemverilog"
190
+ },
191
+ {
192
+ "match": "\\b(bind)\\s+([a-zA-Z_][a-zA-Z0-9_\\.]*)\\b",
193
+ "captures": {
194
+ "1": {
195
+ "name": "keyword.control.systemverilog"
196
+ }
197
+ }
198
+ },
199
+ {
200
+ "captures": {
201
+ "0": {
202
+ "name": "meta.section.begin.systemverilog"
203
+ },
204
+ "1": {
205
+ "name": "keyword.other.block.systemverilog"
206
+ },
207
+ "3": {
208
+ "name": "keyword.operator.systemverilog"
209
+ },
210
+ "4": {
211
+ "name": "entity.name.section.systemverilog"
212
+ }
213
+ },
214
+ "match": "\\s*(begin|fork)\\s*((:)\\s*([a-zA-Z_][a-zA-Z0-9_]*))\\b",
215
+ "name": "meta.definition.systemverilog"
216
+ },
217
+ {
218
+ "match": "\\b(property)\\s+(\\w+)",
219
+ "captures": {
220
+ "1": {
221
+ "name": "keyword.sva.systemverilog"
222
+ },
223
+ "2": {
224
+ "name": "entity.name.sva.systemverilog"
225
+ }
226
+ }
227
+ },
228
+ {
229
+ "match": "\\b(\\w+)\\s*(:)\\s*(assert)\\b",
230
+ "captures": {
231
+ "1": {
232
+ "name": "entity.name.sva.systemverilog"
233
+ },
234
+ "2": {
235
+ "name": "keyword.operator.systemverilog"
236
+ },
237
+ "3": {
238
+ "name": "keyword.sva.systemverilog"
239
+ }
240
+ }
241
+ },
242
+ {
243
+ "begin": "\\s*(//)\\s*(psl)\\s+((\\w+)\\s*(:))?\\s*(default|assert|assume)",
244
+ "beginCaptures": {
245
+ "0": {
246
+ "name": "meta.psl.systemverilog"
247
+ },
248
+ "1": {
249
+ "name": "comment.line.double-slash.systemverilog"
250
+ },
251
+ "2": {
252
+ "name": "keyword.psl.systemverilog"
253
+ },
254
+ "4": {
255
+ "name": "entity.psl.name.systemverilog"
256
+ },
257
+ "5": {
258
+ "name": "keyword.operator.systemverilog"
259
+ },
260
+ "6": {
261
+ "name": "keyword.psl.systemverilog"
262
+ }
263
+ },
264
+ "end": ";",
265
+ "patterns": [
266
+ {
267
+ "match": "\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge)\\b",
268
+ "name": "keyword.psl.systemverilog"
269
+ },
270
+ {
271
+ "include": "#operators"
272
+ },
273
+ {
274
+ "include": "#functions"
275
+ },
276
+ {
277
+ "include": "#constants"
278
+ }
279
+ ],
280
+ "name": "meta.psl.systemverilog"
281
+ },
282
+ {
283
+ "begin": "\\s*(/\\*)\\s*(psl)",
284
+ "beginCaptures": {
285
+ "0": {
286
+ "name": "meta.psl.systemverilog"
287
+ },
288
+ "1": {
289
+ "name": "comment.block.systemverilog"
290
+ },
291
+ "2": {
292
+ "name": "keyword.psl.systemverilog"
293
+ }
294
+ },
295
+ "end": "(\\*/)",
296
+ "endCaptures": {
297
+ "1": {
298
+ "name": "comment.block.systemverilog"
299
+ }
300
+ },
301
+ "patterns": [
302
+ {
303
+ "match": "^\\s*((\\w+)\\s*(:))?\\s*(default|assert|assume)",
304
+ "captures": {
305
+ "0": {
306
+ "name": "meta.psl.systemverilog"
307
+ },
308
+ "2": {
309
+ "name": "entity.psl.name.systemverilog"
310
+ },
311
+ "3": {
312
+ "name": "keyword.operator.systemverilog"
313
+ },
314
+ "4": {
315
+ "name": "keyword.psl.systemverilog"
316
+ }
317
+ }
318
+ },
319
+ {
320
+ "match": "\\b(property)\\s+(\\w+)",
321
+ "captures": {
322
+ "1": {
323
+ "name": "keyword.psl.systemverilog"
324
+ },
325
+ "2": {
326
+ "name": "entity.psl.name.systemverilog"
327
+ }
328
+ }
329
+ },
330
+ {
331
+ "match": "\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge|negedge)\\b",
332
+ "name": "keyword.psl.systemverilog"
333
+ },
334
+ {
335
+ "include": "#operators"
336
+ },
337
+ {
338
+ "include": "#functions"
339
+ },
340
+ {
341
+ "include": "#constants"
342
+ }
343
+ ],
344
+ "name": "meta.psl.systemverilog"
345
+ },
346
+ {
347
+ "match": "\\s*\\b(automatic|cell|config|deassign|defparam|design|disable|edge|endconfig|endgenerate|endspecify|endtable|event|generate|genvar|ifnone|incdir|instance|liblist|library|macromodule|negedge|noshowcancelled|posedge|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|table|use|vectored)\\b",
348
+ "captures": {
349
+ "1": {
350
+ "name": "keyword.other.systemverilog"
351
+ }
352
+ }
353
+ },
354
+ {
355
+ "match": "\\s*\\b(initial|always|wait|force|release|assign|always_comb|always_ff|always_latch|forever|repeat|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|with|inside|dist|clocking|cover|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|modport|matches|solve|static|assert|assume|before|expect|cross|ref|first_match|srandom|struct|packed|final|chandle|alias|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|uwire|wait_order|triggered|randsequence|import|export|context|pure|intersect|wildcard|within|new|typedef|enum|this|super|begin|fork|forkjoin|unique|unique0|priority)\\b",
356
+ "captures": {
357
+ "1": {
358
+ "name": "keyword.control.systemverilog"
359
+ }
360
+ }
361
+ },
362
+ {
363
+ "match": "\\s*\\b(end|endtask|endmodule|endfunction|endprimitive|endclass|endpackage|endsequence|endprogram|endclocking|endproperty|endgroup|endinterface|join|join_any|join_none)\\b(\\s*(:)\\s*(\\w+))?",
364
+ "captures": {
365
+ "1": {
366
+ "name": "keyword.control.systemverilog"
367
+ },
368
+ "3": {
369
+ "name": "keyword.operator.systemverilog"
370
+ },
371
+ "4": {
372
+ "name": "entity.label.systemverilog"
373
+ }
374
+ },
375
+ "name": "meta.object.end.systemverilog"
376
+ },
377
+ {
378
+ "match": "\\b(std)\\b::",
379
+ "name": "support.class.systemverilog"
380
+ },
381
+ {
382
+ "captures": {
383
+ "1": {
384
+ "name": "constant.other.define.systemverilog"
385
+ },
386
+ "2": {
387
+ "name": "entity.name.type.define.systemverilog"
388
+ }
389
+ },
390
+ "match": "^\\s*(`define)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
391
+ "name": "meta.define.systemverilog"
392
+ },
393
+ {
394
+ "include": "#comments"
395
+ },
396
+ {
397
+ "captures": {
398
+ "1": {
399
+ "name": "keyword.control.systemverilog"
400
+ },
401
+ "2": {
402
+ "name": "entity.name.type.class.systemverilog"
403
+ }
404
+ },
405
+ "match": "\\s*(primitive|package|constraint|interface|covergroup|program)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
406
+ "name": "meta.definition.systemverilog"
407
+ },
408
+ {
409
+ "captures": {
410
+ "2": {
411
+ "name": "entity.name.type.class.systemverilog"
412
+ },
413
+ "3": {
414
+ "name": "keyword.operator.other.systemverilog"
415
+ },
416
+ "4": {
417
+ "name": "keyword.control.systemverilog"
418
+ }
419
+ },
420
+ "match": "(([a-zA-Z_][a-zA-Z0-9_]*)\\s*(:))?\\s*(coverpoint|cross)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
421
+ "name": "meta.definition.systemverilog"
422
+ },
423
+ {
424
+ "captures": {
425
+ "1": {
426
+ "name": "keyword.control.systemverilog"
427
+ },
428
+ "2": {
429
+ "name": "keyword.control.systemverilog"
430
+ },
431
+ "3": {
432
+ "name": "entity.name.type.class.systemverilog"
433
+ }
434
+ },
435
+ "match": "\\b(virtual\\s+)?(class)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
436
+ "name": "meta.definition.class.systemverilog"
437
+ },
438
+ {
439
+ "captures": {
440
+ "1": {
441
+ "name": "keyword.control.systemverilog"
442
+ },
443
+ "2": {
444
+ "name": "entity.other.inherited-class.systemverilog"
445
+ }
446
+ },
447
+ "match": "\\b(extends)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b",
448
+ "name": "meta.definition.systemverilog"
449
+ },
450
+ {
451
+ "include": "#all-types"
452
+ },
453
+ {
454
+ "include": "#operators"
455
+ },
456
+ {
457
+ "include": "#port-dir"
458
+ },
459
+ {
460
+ "match": "\\b(and|nand|nor|or|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|tran|r?tranif[01]|pullup|pulldown)\\b",
461
+ "name": "support.type.systemverilog"
462
+ },
463
+ {
464
+ "include": "#strings"
465
+ },
466
+ {
467
+ "match": "\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
468
+ "name": "support.function.systemverilog"
469
+ },
470
+ {
471
+ "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)(')(?=\\()",
472
+ "name": "meta.cast.systemverilog",
473
+ "captures": {
474
+ "1": {
475
+ "name": "storage.type.systemverilog"
476
+ },
477
+ "2": {
478
+ "name": "keyword.operator.cast.systemverilog"
479
+ }
480
+ }
481
+ },
482
+ {
483
+ "match": "^\\s*(localparam|parameter)\\s+([A-Z_][A-Z0-9_]*)\\b\\s*(?=(=))",
484
+ "name": "meta.param.systemverilog",
485
+ "captures": {
486
+ "1": {
487
+ "name": "keyword.other.systemverilog"
488
+ },
489
+ "2": {
490
+ "name": "constant.other.systemverilog"
491
+ }
492
+ }
493
+ },
494
+ {
495
+ "match": "^\\s*(localparam|parameter)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(=))",
496
+ "name": "meta.param.systemverilog",
497
+ "captures": {
498
+ "1": {
499
+ "name": "keyword.other.systemverilog"
500
+ }
501
+ }
502
+ },
503
+ {
504
+ "match": "^\\s*(local\\s+|protected\\s+|localparam\\s+|parameter\\s+)?(const\\s+|virtual\\s+)?(rand\\s+|randc\\s+)?(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(#\\s*\\([\\w,]+\\)\\s*)?([a-zA-Z][a-zA-Z0-9_\\s\\[\\]']*)(;|,|=|'\\{))",
505
+ "name": "meta.userdefined.systemverilog",
506
+ "captures": {
507
+ "1": {
508
+ "name": "keyword.other.systemverilog"
509
+ },
510
+ "2": {
511
+ "name": "keyword.other.systemverilog"
512
+ },
513
+ "3": {
514
+ "name": "storage.type.rand.systemverilog"
515
+ },
516
+ "5": {
517
+ "name": "support.type.scope.systemverilog"
518
+ },
519
+ "6": {
520
+ "name": "keyword.operator.scope.systemverilog"
521
+ },
522
+ "7": {
523
+ "name": "storage.type.userdefined.systemverilog"
524
+ }
525
+ }
526
+ },
527
+ {
528
+ "match": "\\s*\\b(option)\\.",
529
+ "captures": {
530
+ "1": {
531
+ "name": "keyword.cover.systemverilog"
532
+ }
533
+ }
534
+ },
535
+ {
536
+ "match": "\\s*\\b(local|const|protected|virtual|localparam|parameter)\\b",
537
+ "captures": {
538
+ "1": {
539
+ "name": "keyword.other.systemverilog"
540
+ }
541
+ }
542
+ },
543
+ {
544
+ "match": "\\s*\\b(rand|randc)\\b",
545
+ "name": "storage.type.rand.systemverilog"
546
+ },
547
+ {
548
+ "begin": "^(\\s*(bind)\\s+([a-zA-Z_][\\w\\.]*))?\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=#[^#])",
549
+ "beginCaptures": {
550
+ "2": {
551
+ "name": "keyword.control.systemverilog"
552
+ },
553
+ "4": {
554
+ "name": "storage.module.systemverilog"
555
+ }
556
+ },
557
+ "end": "(?=;|=|:)",
558
+ "patterns": [
559
+ {
560
+ "include": "#module-binding"
561
+ },
562
+ {
563
+ "include": "#module-param"
564
+ },
565
+ {
566
+ "include": "#comments"
567
+ },
568
+ {
569
+ "include": "#operators"
570
+ },
571
+ {
572
+ "include": "#constants"
573
+ },
574
+ {
575
+ "include": "#strings"
576
+ },
577
+ {
578
+ "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b(?=\\s*(\\(|$))",
579
+ "name": "entity.name.type.module.systemverilog"
580
+ }
581
+ ],
582
+ "name": "meta.module.inst.param.systemverilog"
583
+ },
584
+ {
585
+ "begin": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\s+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_]*)\\s*(\\[(\\d+)(\\:(\\d+))?\\])?\\s*(\\(|$)",
586
+ "beginCaptures": {
587
+ "1": {
588
+ "name": "storage.module.systemverilog"
589
+ },
590
+ "2": {
591
+ "name": "entity.name.type.module.systemverilog"
592
+ },
593
+ "4": {
594
+ "name": "constant.numeric.systemverilog"
595
+ },
596
+ "6": {
597
+ "name": "constant.numeric.systemverilog"
598
+ }
599
+ },
600
+ "end": ";",
601
+ "patterns": [
602
+ {
603
+ "include": "#module-binding"
604
+ },
605
+ {
606
+ "include": "#comments"
607
+ },
608
+ {
609
+ "include": "#strings"
610
+ },
611
+ {
612
+ "include": "#operators"
613
+ },
614
+ {
615
+ "include": "#constants"
616
+ }
617
+ ],
618
+ "name": "meta.module.inst.systemverilog"
619
+ },
620
+ {
621
+ "name": "meta.struct.assign.systemverilog",
622
+ "begin": "\\b\\s+(<?=)\\s*(\\'{)",
623
+ "beginCaptures": {
624
+ "1": {
625
+ "name": "keyword.operator.other.systemverilog"
626
+ },
627
+ "2": {
628
+ "name": "keyword.operator.other.systemverilog"
629
+ },
630
+ "3": {
631
+ "name": "keyword.operator.other.systemverilog"
632
+ }
633
+ },
634
+ "end": ";",
635
+ "patterns": [
636
+ {
637
+ "match": "\\b(\\w+)\\s*(:)(?!:)",
638
+ "captures": {
639
+ "1": {
640
+ "name": "support.function.field.systemverilog"
641
+ },
642
+ "2": {
643
+ "name": "keyword.operator.other.systemverilog"
644
+ }
645
+ }
646
+ },
647
+ {
648
+ "include": "#comments"
649
+ },
650
+ {
651
+ "include": "#strings"
652
+ },
653
+ {
654
+ "include": "#operators"
655
+ },
656
+ {
657
+ "include": "#constants"
658
+ },
659
+ {
660
+ "include": "#storage-scope-systemverilog"
661
+ }
662
+ ]
663
+ },
664
+ {
665
+ "include": "#storage-scope-systemverilog"
666
+ },
667
+ {
668
+ "include": "#functions"
669
+ },
670
+ {
671
+ "include": "#constants"
672
+ }
673
+ ],
674
+ "repository": {
675
+ "functions": {
676
+ "match": "\\b(\\w+)(?=\\s*\\()",
677
+ "name": "support.function.generic.systemverilog"
678
+ },
679
+ "all-types": {
680
+ "patterns": [
681
+ {
682
+ "include": "#storage-type-systemverilog"
683
+ },
684
+ {
685
+ "include": "#storage-modifier-systemverilog"
686
+ }
687
+ ]
688
+ },
689
+ "constants": {
690
+ "patterns": [
691
+ {
692
+ "match": "(\\b\\d+)?'(s?[bB]\\s*[0-1xXzZ?][0-1_xXzZ?]*|s?[oO]\\s*[0-7xXzZ?][0-7_xXzZ?]*|s?[dD]\\s*[0-9xXzZ?][0-9_xXzZ?]*|s?[hH]\\s*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\+|-)?[0-9]+)?(?!'|\\w)",
693
+ "name": "constant.numeric.systemverilog"
694
+ },
695
+ {
696
+ "match": "'[01xXzZ]",
697
+ "name": "constant.numeric.bit.systemverilog"
698
+ },
699
+ {
700
+ "match": "\\b((\\d[\\d_]*)(e|E)(\\+|-)?[0-9]+)\\b",
701
+ "name": "constant.numeric.exp.systemverilog"
702
+ },
703
+ {
704
+ "match": "\\b(\\d[\\d_]*)\\b",
705
+ "name": "constant.numeric.decimal.systemverilog"
706
+ },
707
+ {
708
+ "match": "\\b(\\d+(fs|ps|ns|us|ms|s)?)\\b",
709
+ "name": "constant.numeric.time.systemverilog"
710
+ },
711
+ {
712
+ "match": "\\b([A-Z][A-Z0-9_]*)\\b",
713
+ "name": "constant.other.net.systemverilog"
714
+ },
715
+ {
716
+ "match": "(`ifdef|`ifndef|`default_nettype)\\s+(\\w+)",
717
+ "captures": {
718
+ "1": {
719
+ "name": "constant.other.preprocessor.systemverilog"
720
+ },
721
+ "2": {
722
+ "name": "support.variable.systemverilog"
723
+ }
724
+ }
725
+ },
726
+ {
727
+ "match": "`(celldefine|else|elsif|endcelldefine|endif|include|line|nounconnected_drive|resetall|timescale|unconnected_drive|undef|begin_\\w+|end_\\w+|remove_\\w+|restore_\\w+)\\b",
728
+ "name": "constant.other.preprocessor.systemverilog"
729
+ },
730
+ {
731
+ "match": "`\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
732
+ "name": "constant.other.define.systemverilog"
733
+ },
734
+ {
735
+ "match": "\\b(null)\\b",
736
+ "name": "support.constant.systemverilog"
737
+ }
738
+ ]
739
+ },
740
+ "operators": {
741
+ "patterns": [
742
+ {
743
+ "match": "(=|==|===|!=|!==|<=|>=|<|>)",
744
+ "name": "keyword.operator.comparison.systemverilog"
745
+ },
746
+ {
747
+ "match": "(\\-|\\+|\\*|\\/|%)",
748
+ "name": "keyword.operator.arithmetic.systemverilog"
749
+ },
750
+ {
751
+ "match": "(!|&&|\\|\\||\\bor\\b)",
752
+ "name": "keyword.operator.logical.systemverilog"
753
+ },
754
+ {
755
+ "match": "(&|\\||\\^|~|{|'{|}|<<|>>|\\?|:)",
756
+ "name": "keyword.operator.bitwise.systemverilog"
757
+ },
758
+ {
759
+ "match": "(#|@)",
760
+ "name": "keyword.operator.other.systemverilog"
761
+ }
762
+ ]
763
+ },
764
+ "comments": {
765
+ "patterns": [
766
+ {
767
+ "begin": "/\\*",
768
+ "captures": {
769
+ "0": {
770
+ "name": "punctuation.definition.comment.systemverilog"
771
+ }
772
+ },
773
+ "end": "\\*/",
774
+ "name": "comment.block.systemverilog"
775
+ },
776
+ {
777
+ "captures": {
778
+ "1": {
779
+ "name": "punctuation.definition.comment.systemverilog"
780
+ }
781
+ },
782
+ "match": "(//).*$\\n?",
783
+ "name": "comment.line.double-slash.systemverilog"
784
+ }
785
+ ]
786
+ },
787
+ "port-dir": {
788
+ "patterns": [
789
+ {
790
+ "match": "\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=\\[[a-zA-Z0-9_\\-\\+]*:[a-zA-Z0-9_\\-\\+]*\\]\\s+[a-zA-Z_][a-zA-Z0-9_\\s]*)",
791
+ "captures": {
792
+ "1": {
793
+ "name": "support.type.systemverilog"
794
+ },
795
+ "3": {
796
+ "name": "support.type.scope.systemverilog"
797
+ },
798
+ "4": {
799
+ "name": "keyword.operator.scope.systemverilog"
800
+ },
801
+ "5": {
802
+ "name": "storage.type.interface.systemverilog"
803
+ }
804
+ }
805
+ },
806
+ {
807
+ "match": "\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=[a-zA-Z_][a-zA-Z0-9_\\s]*)",
808
+ "captures": {
809
+ "1": {
810
+ "name": "support.type.systemverilog"
811
+ },
812
+ "3": {
813
+ "name": "support.type.scope.systemverilog"
814
+ },
815
+ "4": {
816
+ "name": "keyword.operator.scope.systemverilog"
817
+ },
818
+ "5": {
819
+ "name": "storage.type.interface.systemverilog"
820
+ }
821
+ }
822
+ },
823
+ {
824
+ "match": "\\s*\\b(output|input|inout|ref)\\b",
825
+ "name": "support.type.systemverilog"
826
+ }
827
+ ]
828
+ },
829
+ "base-grammar": {
830
+ "patterns": [
831
+ {
832
+ "include": "#all-types"
833
+ },
834
+ {
835
+ "include": "#comments"
836
+ },
837
+ {
838
+ "include": "#operators"
839
+ },
840
+ {
841
+ "include": "#constants"
842
+ },
843
+ {
844
+ "include": "#strings"
845
+ },
846
+ {
847
+ "match": "^\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s+[a-zA-Z_][a-zA-Z0-9_,=\\s]*",
848
+ "captures": {
849
+ "1": {
850
+ "name": "storage.type.interface.systemverilog"
851
+ }
852
+ }
853
+ },
854
+ {
855
+ "include": "#storage-scope-systemverilog"
856
+ }
857
+ ]
858
+ },
859
+ "storage-type-systemverilog": {
860
+ "patterns": [
861
+ {
862
+ "match": "\\s*\\b(var|wire|tri|tri[01]|supply[01]|wand|triand|wor|trior|trireg|reg|integer|int|longint|shortint|logic|bit|byte|shortreal|string|time|realtime|real|process|void)\\b",
863
+ "name": "storage.type.systemverilog"
864
+ },
865
+ {
866
+ "match": "\\s*\\b(uvm_transaction|uvm_component|uvm_monitor|uvm_driver|uvm_test|uvm_env|uvm_object|uvm_agent|uvm_sequence_base|uvm_sequence|uvm_sequence_item|uvm_sequence_state|uvm_sequencer|uvm_sequencer_base|uvm_component_registry|uvm_analysis_imp|uvm_analysis_port|uvm_analysis_export|uvm_config_db|uvm_active_passive_enum|uvm_phase|uvm_verbosity|uvm_tlm_analysis_fifo|uvm_tlm_fifo|uvm_report_server|uvm_objection|uvm_recorder|uvm_domain|uvm_reg_field|uvm_reg|uvm_reg_block|uvm_bitstream_t|uvm_radix_enum|uvm_printer|uvm_packer|uvm_comparer|uvm_scope_stack)\\b",
867
+ "name": "storage.type.uvm.systemverilog"
868
+ }
869
+ ]
870
+ },
871
+ "storage-scope-systemverilog": {
872
+ "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)(::)",
873
+ "captures": {
874
+ "1": {
875
+ "name": "support.type.systemverilog"
876
+ },
877
+ "2": {
878
+ "name": "keyword.operator.scope.systemverilog"
879
+ }
880
+ },
881
+ "name": "meta.scope.systemverilog"
882
+ },
883
+ "storage-modifier-systemverilog": {
884
+ "match": "\\b(signed|unsigned|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\b",
885
+ "name": "storage.modifier.systemverilog"
886
+ },
887
+ "ifmodport": {
888
+ "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b",
889
+ "captures": {
890
+ "1": {
891
+ "name": "storage.type.interface.systemverilog"
892
+ },
893
+ "2": {
894
+ "name": "support.modport.systemverilog"
895
+ }
896
+ }
897
+ },
898
+ "strings": {
899
+ "patterns": [
900
+ {
901
+ "begin": "\"",
902
+ "beginCaptures": {
903
+ "0": {
904
+ "name": "punctuation.definition.string.begin.systemverilog"
905
+ }
906
+ },
907
+ "end": "\"",
908
+ "endCaptures": {
909
+ "0": {
910
+ "name": "punctuation.definition.string.end.systemverilog"
911
+ }
912
+ },
913
+ "name": "string.quoted.double.systemverilog",
914
+ "patterns": [
915
+ {
916
+ "match": "\\\\.",
917
+ "name": "constant.character.escape.systemverilog"
918
+ },
919
+ {
920
+ "match": "(?x)%\r\n\t\t\t\t\t\t\t\t\t\t(\\d+\\$)? # field (argument #)\r\n\t\t\t\t\t\t\t\t\t\t[#0\\- +']* # flags\r\n\t\t\t\t\t\t\t\t\t\t[,;:_]? # separator character (AltiVec)\r\n\t\t\t\t\t\t\t\t\t\t((-?\\d+)|\\*(-?\\d+\\$)?)? # minimum field width\r\n\t\t\t\t\t\t\t\t\t\t(\\.((-?\\d+)|\\*(-?\\d+\\$)?)?)? # precision\r\n\t\t\t\t\t\t\t\t\t\t(hh|h|ll|l|j|t|z|q|L|vh|vl|v|hv|hl)? # length modifier\r\n\t\t\t\t\t\t\t\t\t\t[bdiouxXhHDOUeEfFgGaACcSspnmt%] # conversion type\r\n\t\t\t\t\t\t\t\t\t",
921
+ "name": "constant.other.placeholder.systemverilog"
922
+ },
923
+ {
924
+ "match": "%",
925
+ "name": "invalid.illegal.placeholder.systemverilog"
926
+ }
927
+ ]
928
+ }
929
+ ]
930
+ },
931
+ "module-binding": {
932
+ "begin": "\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*\\(",
933
+ "beginCaptures": {
934
+ "1": {
935
+ "name": "support.function.port.systemverilog"
936
+ }
937
+ },
938
+ "end": "\\)",
939
+ "patterns": [
940
+ {
941
+ "include": "#constants"
942
+ },
943
+ {
944
+ "include": "#comments"
945
+ },
946
+ {
947
+ "include": "#operators"
948
+ },
949
+ {
950
+ "include": "#strings"
951
+ },
952
+ {
953
+ "include": "#constants"
954
+ },
955
+ {
956
+ "match": "\\b([a-zA-Z_]\\w*)(::)",
957
+ "captures": {
958
+ "1": {
959
+ "name": "support.type.scope.systemverilog"
960
+ },
961
+ "2": {
962
+ "name": "keyword.operator.scope.systemverilog"
963
+ }
964
+ }
965
+ },
966
+ {
967
+ "match": "\\b([a-zA-Z_]\\w*)(')",
968
+ "captures": {
969
+ "1": {
970
+ "name": "storage.type.interface.systemverilog"
971
+ },
972
+ "2": {
973
+ "name": "keyword.operator.cast.systemverilog"
974
+ }
975
+ }
976
+ },
977
+ {
978
+ "match": "\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
979
+ "name": "support.function.systemverilog"
980
+ },
981
+ {
982
+ "match": "\\b(virtual)\\b",
983
+ "name": "keyword.control.systemverilog"
984
+ }
985
+ ],
986
+ "match": "\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*",
987
+ "captures": {
988
+ "1": {
989
+ "name": "support.function.port.implicit.systemverilog"
990
+ }
991
+ }
992
+ },
993
+ "module-param": {
994
+ "name": "meta.module-param.systemverilog",
995
+ "begin": "(#)\\s*\\(",
996
+ "beginCaptures": {
997
+ "1": {
998
+ "name": "keyword.operator.param.systemverilog"
999
+ }
1000
+ },
1001
+ "end": "\\)",
1002
+ "patterns": [
1003
+ {
1004
+ "include": "#comments"
1005
+ },
1006
+ {
1007
+ "include": "#constants"
1008
+ },
1009
+ {
1010
+ "include": "#operators"
1011
+ },
1012
+ {
1013
+ "include": "#strings"
1014
+ },
1015
+ {
1016
+ "include": "#module-binding"
1017
+ },
1018
+ {
1019
+ "match": "\\b(virtual)\\b",
1020
+ "name": "keyword.control.systemverilog"
1021
+ }
1022
+ ]
1023
+ },
1024
+ "struct-anonymous": {
1025
+ "begin": "\\s*\\b(struct|union)\\s*(packed)?\\s*",
1026
+ "beginCaptures": {
1027
+ "1": {
1028
+ "name": "keyword.control.systemverilog"
1029
+ },
1030
+ "2": {
1031
+ "name": "keyword.control.systemverilog"
1032
+ }
1033
+ },
1034
+ "end": "(})\\s*([a-zA-Z_]\\w*)\\s*;",
1035
+ "endCaptures": {
1036
+ "1": {
1037
+ "name": "keyword.operator.other.systemverilog"
1038
+ }
1039
+ },
1040
+ "patterns": [
1041
+ {
1042
+ "include": "#base-grammar"
1043
+ }
1044
+ ],
1045
+ "name": "meta.struct.anonymous.systemverilog"
1046
+ }
1047
+ },
1048
+ "scopeName": "source.systemverilog",
1049
+ "uuid": "789be04c-8b74-352e-8f37-63d336001277"
1050
+ }