expressir 0.2.4-x64-mingw32

Sign up to get free protection for your applications and to get access to all the features.
Files changed (520) hide show
  1. checksums.yaml +7 -0
  2. data/.github/workflows/rake.yml +46 -0
  3. data/.github/workflows/release.yml +75 -0
  4. data/.gitignore +15 -0
  5. data/.gitmodules +3 -0
  6. data/.rspec +2 -0
  7. data/.rubocop.yml +508 -0
  8. data/Gemfile +6 -0
  9. data/README.adoc +147 -0
  10. data/Rakefile +17 -0
  11. data/bin/console +12 -0
  12. data/bin/rspec +29 -0
  13. data/bin/setup +8 -0
  14. data/demo.rb +18 -0
  15. data/docs/development.md +90 -0
  16. data/exe/expressir +20 -0
  17. data/exe/generate-parser +48 -0
  18. data/expressir.gemspec +40 -0
  19. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/CMakeLists.txt +118 -0
  20. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2013.vcxproj +637 -0
  21. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2013.vcxproj.filters +984 -0
  22. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2015.vcxproj +652 -0
  23. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2015.vcxproj.filters +990 -0
  24. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2017.vcxproj +652 -0
  25. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2017.vcxproj.filters +990 -0
  26. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2019.vcxproj +652 -0
  27. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlr4cpp-vs2019.vcxproj.filters +990 -0
  28. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp-ios/Info.plist +26 -0
  29. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp-ios/antlrcpp_ios.h +17 -0
  30. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/project.pbxproj +3024 -0
  31. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/project.xcworkspace/contents.xcworkspacedata +7 -0
  32. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/project.xcworkspace/xcshareddata/IDEWorkspaceChecks.plist +8 -0
  33. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/xcshareddata/xcschemes/antlr4.xcscheme +76 -0
  34. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/xcshareddata/xcschemes/antlr4_ios.xcscheme +80 -0
  35. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/antlrcpp.xcodeproj/xcshareddata/xcschemes/antlr4_static.xcscheme +80 -0
  36. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRErrorListener.cpp +10 -0
  37. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRErrorListener.h +167 -0
  38. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRErrorStrategy.cpp +10 -0
  39. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRErrorStrategy.h +121 -0
  40. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRFileStream.cpp +34 -0
  41. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRFileStream.h +27 -0
  42. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRInputStream.cpp +155 -0
  43. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ANTLRInputStream.h +69 -0
  44. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BailErrorStrategy.cpp +61 -0
  45. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BailErrorStrategy.h +59 -0
  46. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BaseErrorListener.cpp +25 -0
  47. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BaseErrorListener.h +36 -0
  48. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BufferedTokenStream.cpp +414 -0
  49. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/BufferedTokenStream.h +200 -0
  50. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CharStream.cpp +11 -0
  51. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CharStream.h +37 -0
  52. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonToken.cpp +195 -0
  53. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonToken.h +158 -0
  54. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonTokenFactory.cpp +39 -0
  55. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonTokenFactory.h +74 -0
  56. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonTokenStream.cpp +78 -0
  57. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/CommonTokenStream.h +79 -0
  58. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ConsoleErrorListener.cpp +15 -0
  59. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ConsoleErrorListener.h +35 -0
  60. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/DefaultErrorStrategy.cpp +333 -0
  61. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/DefaultErrorStrategy.h +466 -0
  62. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/DiagnosticErrorListener.cpp +84 -0
  63. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/DiagnosticErrorListener.h +80 -0
  64. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Exceptions.cpp +64 -0
  65. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Exceptions.h +99 -0
  66. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/FailedPredicateException.cpp +51 -0
  67. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/FailedPredicateException.h +32 -0
  68. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/InputMismatchException.cpp +18 -0
  69. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/InputMismatchException.h +24 -0
  70. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/IntStream.cpp +12 -0
  71. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/IntStream.h +218 -0
  72. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/InterpreterRuleContext.cpp +19 -0
  73. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/InterpreterRuleContext.h +45 -0
  74. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Lexer.cpp +295 -0
  75. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Lexer.h +196 -0
  76. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/LexerInterpreter.cpp +75 -0
  77. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/LexerInterpreter.h +52 -0
  78. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/LexerNoViableAltException.cpp +36 -0
  79. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/LexerNoViableAltException.h +31 -0
  80. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ListTokenSource.cpp +92 -0
  81. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ListTokenSource.h +88 -0
  82. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/NoViableAltException.cpp +46 -0
  83. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/NoViableAltException.h +42 -0
  84. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Parser.cpp +648 -0
  85. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Parser.h +467 -0
  86. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ParserInterpreter.cpp +306 -0
  87. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ParserInterpreter.h +179 -0
  88. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ParserRuleContext.cpp +141 -0
  89. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ParserRuleContext.h +147 -0
  90. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ProxyErrorListener.cpp +53 -0
  91. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/ProxyErrorListener.h +38 -0
  92. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RecognitionException.cpp +66 -0
  93. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RecognitionException.h +98 -0
  94. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Recognizer.cpp +167 -0
  95. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Recognizer.h +164 -0
  96. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuleContext.cpp +143 -0
  97. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuleContext.h +137 -0
  98. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuleContextWithAltNum.cpp +27 -0
  99. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuleContextWithAltNum.h +32 -0
  100. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuntimeMetaData.cpp +53 -0
  101. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/RuntimeMetaData.h +155 -0
  102. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Token.cpp +9 -0
  103. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Token.h +92 -0
  104. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenFactory.h +30 -0
  105. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenSource.cpp +9 -0
  106. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenSource.h +85 -0
  107. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenStream.cpp +11 -0
  108. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenStream.h +137 -0
  109. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenStreamRewriter.cpp +425 -0
  110. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/TokenStreamRewriter.h +293 -0
  111. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/UnbufferedCharStream.cpp +211 -0
  112. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/UnbufferedCharStream.h +123 -0
  113. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/UnbufferedTokenStream.cpp +270 -0
  114. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/UnbufferedTokenStream.h +115 -0
  115. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Vocabulary.cpp +104 -0
  116. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/Vocabulary.h +193 -0
  117. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/WritableToken.cpp +9 -0
  118. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/WritableToken.h +23 -0
  119. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/antlr4-common.h +137 -0
  120. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/antlr4-runtime.h +167 -0
  121. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATN.cpp +209 -0
  122. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATN.h +112 -0
  123. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNConfig.cpp +113 -0
  124. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNConfig.h +148 -0
  125. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNConfigSet.cpp +228 -0
  126. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNConfigSet.h +110 -0
  127. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNDeserializationOptions.cpp +64 -0
  128. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNDeserializationOptions.h +50 -0
  129. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNDeserializer.cpp +758 -0
  130. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNDeserializer.h +85 -0
  131. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNSerializer.cpp +621 -0
  132. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNSerializer.h +61 -0
  133. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNSimulator.cpp +63 -0
  134. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNSimulator.h +87 -0
  135. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNState.cpp +72 -0
  136. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNState.h +133 -0
  137. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ATNType.h +20 -0
  138. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AbstractPredicateTransition.cpp +14 -0
  139. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AbstractPredicateTransition.h +24 -0
  140. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ActionTransition.cpp +33 -0
  141. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ActionTransition.h +33 -0
  142. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AmbiguityInfo.cpp +16 -0
  143. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AmbiguityInfo.h +68 -0
  144. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ArrayPredictionContext.cpp +82 -0
  145. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ArrayPredictionContext.h +43 -0
  146. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AtomTransition.cpp +31 -0
  147. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/AtomTransition.h +30 -0
  148. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BasicBlockStartState.cpp +12 -0
  149. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BasicBlockStartState.h +22 -0
  150. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BasicState.cpp +12 -0
  151. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BasicState.h +21 -0
  152. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BlockEndState.cpp +15 -0
  153. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BlockEndState.h +24 -0
  154. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BlockStartState.cpp +9 -0
  155. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/BlockStartState.h +21 -0
  156. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ContextSensitivityInfo.cpp +14 -0
  157. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ContextSensitivityInfo.h +47 -0
  158. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionEventInfo.cpp +14 -0
  159. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionEventInfo.h +70 -0
  160. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionInfo.cpp +25 -0
  161. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionInfo.h +227 -0
  162. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionState.cpp +17 -0
  163. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/DecisionState.h +30 -0
  164. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/EmptyPredictionContext.cpp +35 -0
  165. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/EmptyPredictionContext.h +27 -0
  166. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/EpsilonTransition.cpp +35 -0
  167. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/EpsilonTransition.h +39 -0
  168. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ErrorInfo.cpp +15 -0
  169. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ErrorInfo.h +43 -0
  170. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LL1Analyzer.cpp +158 -0
  171. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LL1Analyzer.h +109 -0
  172. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerATNConfig.cpp +84 -0
  173. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerATNConfig.h +44 -0
  174. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerATNSimulator.cpp +628 -0
  175. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerATNSimulator.h +210 -0
  176. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerAction.cpp +9 -0
  177. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerAction.h +66 -0
  178. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerActionExecutor.cpp +107 -0
  179. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerActionExecutor.h +115 -0
  180. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerActionType.h +55 -0
  181. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerChannelAction.cpp +55 -0
  182. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerChannelAction.h +63 -0
  183. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerCustomAction.cpp +62 -0
  184. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerCustomAction.h +87 -0
  185. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerIndexedCustomAction.cpp +63 -0
  186. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerIndexedCustomAction.h +82 -0
  187. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerModeAction.cpp +56 -0
  188. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerModeAction.h +61 -0
  189. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerMoreAction.cpp +47 -0
  190. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerMoreAction.h +57 -0
  191. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerPopModeAction.cpp +47 -0
  192. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerPopModeAction.h +57 -0
  193. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerPushModeAction.cpp +56 -0
  194. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerPushModeAction.h +61 -0
  195. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerSkipAction.cpp +47 -0
  196. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerSkipAction.h +55 -0
  197. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerTypeAction.cpp +56 -0
  198. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LexerTypeAction.h +55 -0
  199. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LookaheadEventInfo.cpp +16 -0
  200. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LookaheadEventInfo.h +42 -0
  201. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LoopEndState.cpp +12 -0
  202. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/LoopEndState.h +22 -0
  203. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/Makefile +67 -0
  204. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/NotSetTransition.cpp +27 -0
  205. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/NotSetTransition.h +25 -0
  206. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/OrderedATNConfigSet.cpp +12 -0
  207. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/OrderedATNConfigSet.h +20 -0
  208. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ParseInfo.cpp +102 -0
  209. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ParseInfo.h +102 -0
  210. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ParserATNSimulator.cpp +1366 -0
  211. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ParserATNSimulator.h +904 -0
  212. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PlusBlockStartState.cpp +12 -0
  213. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PlusBlockStartState.h +25 -0
  214. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PlusLoopbackState.cpp +12 -0
  215. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PlusLoopbackState.h +22 -0
  216. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PrecedencePredicateTransition.cpp +32 -0
  217. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PrecedencePredicateTransition.h +29 -0
  218. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredicateEvalInfo.cpp +17 -0
  219. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredicateEvalInfo.h +62 -0
  220. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredicateTransition.cpp +34 -0
  221. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredicateTransition.h +39 -0
  222. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredictionContext.cpp +662 -0
  223. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredictionContext.h +254 -0
  224. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredictionMode.cpp +201 -0
  225. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/PredictionMode.h +436 -0
  226. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ProfilingATNSimulator.cpp +179 -0
  227. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/ProfilingATNSimulator.h +60 -0
  228. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RangeTransition.cpp +30 -0
  229. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RangeTransition.h +29 -0
  230. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleStartState.cpp +16 -0
  231. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleStartState.h +25 -0
  232. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleStopState.cpp +12 -0
  233. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleStopState.h +25 -0
  234. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleTransition.cpp +37 -0
  235. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/RuleTransition.h +40 -0
  236. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SemanticContext.cpp +377 -0
  237. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SemanticContext.h +222 -0
  238. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SetTransition.cpp +32 -0
  239. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SetTransition.h +30 -0
  240. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SingletonPredictionContext.cpp +81 -0
  241. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/SingletonPredictionContext.h +36 -0
  242. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarBlockStartState.cpp +12 -0
  243. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarBlockStartState.h +21 -0
  244. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarLoopEntryState.cpp +15 -0
  245. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarLoopEntryState.h +35 -0
  246. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarLoopbackState.cpp +19 -0
  247. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/StarLoopbackState.h +21 -0
  248. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/TokensStartState.cpp +12 -0
  249. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/TokensStartState.h +21 -0
  250. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/Transition.cpp +44 -0
  251. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/Transition.h +76 -0
  252. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/WildcardTransition.cpp +25 -0
  253. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/atn/WildcardTransition.h +25 -0
  254. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFA.cpp +127 -0
  255. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFA.h +91 -0
  256. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFASerializer.cpp +67 -0
  257. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFASerializer.h +32 -0
  258. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFAState.cpp +100 -0
  259. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/DFAState.h +144 -0
  260. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/LexerDFASerializer.cpp +20 -0
  261. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/dfa/LexerDFASerializer.h +23 -0
  262. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/InterpreterDataReader.cpp +124 -0
  263. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/InterpreterDataReader.h +31 -0
  264. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/Interval.cpp +89 -0
  265. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/Interval.h +84 -0
  266. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/IntervalSet.cpp +521 -0
  267. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/IntervalSet.h +198 -0
  268. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/MurmurHash.cpp +134 -0
  269. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/MurmurHash.h +76 -0
  270. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/Predicate.cpp +4 -0
  271. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/misc/Predicate.h +21 -0
  272. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/Any.cpp +13 -0
  273. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/Any.h +170 -0
  274. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/Arrays.cpp +43 -0
  275. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/Arrays.h +110 -0
  276. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/BitSet.h +76 -0
  277. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/CPPUtils.cpp +248 -0
  278. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/CPPUtils.h +78 -0
  279. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/Declarations.h +163 -0
  280. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/StringUtils.cpp +36 -0
  281. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/StringUtils.h +54 -0
  282. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/guid.cpp +303 -0
  283. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/support/guid.h +112 -0
  284. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/AbstractParseTreeVisitor.h +128 -0
  285. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ErrorNode.cpp +9 -0
  286. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ErrorNode.h +19 -0
  287. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ErrorNodeImpl.cpp +23 -0
  288. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ErrorNodeImpl.h +33 -0
  289. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/IterativeParseTreeWalker.cpp +71 -0
  290. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/IterativeParseTreeWalker.h +53 -0
  291. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTree.cpp +15 -0
  292. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTree.h +102 -0
  293. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeListener.cpp +9 -0
  294. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeListener.h +39 -0
  295. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeProperty.h +50 -0
  296. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeVisitor.cpp +9 -0
  297. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeVisitor.h +57 -0
  298. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeWalker.cpp +49 -0
  299. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/ParseTreeWalker.h +31 -0
  300. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/TerminalNode.cpp +9 -0
  301. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/TerminalNode.h +32 -0
  302. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/TerminalNodeImpl.cpp +57 -0
  303. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/TerminalNodeImpl.h +33 -0
  304. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/Trees.cpp +241 -0
  305. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/Trees.h +78 -0
  306. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/Chunk.cpp +9 -0
  307. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/Chunk.h +44 -0
  308. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreeMatch.cpp +69 -0
  309. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreeMatch.h +132 -0
  310. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreePattern.cpp +64 -0
  311. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreePattern.h +105 -0
  312. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreePatternMatcher.cpp +371 -0
  313. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/ParseTreePatternMatcher.h +185 -0
  314. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/RuleTagToken.cpp +77 -0
  315. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/RuleTagToken.h +117 -0
  316. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TagChunk.cpp +39 -0
  317. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TagChunk.h +86 -0
  318. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TextChunk.cpp +28 -0
  319. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TextChunk.h +51 -0
  320. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TokenTagToken.cpp +36 -0
  321. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/pattern/TokenTagToken.h +80 -0
  322. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPath.cpp +154 -0
  323. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPath.h +86 -0
  324. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathElement.cpp +31 -0
  325. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathElement.h +40 -0
  326. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexer.cpp +173 -0
  327. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexer.g4 +64 -0
  328. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexer.h +56 -0
  329. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexer.tokens +12 -0
  330. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexerErrorListener.cpp +13 -0
  331. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathLexerErrorListener.h +22 -0
  332. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathRuleAnywhereElement.cpp +20 -0
  333. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathRuleAnywhereElement.h +27 -0
  334. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathRuleElement.cpp +30 -0
  335. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathRuleElement.h +26 -0
  336. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathTokenAnywhereElement.cpp +20 -0
  337. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathTokenAnywhereElement.h +25 -0
  338. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathTokenElement.cpp +33 -0
  339. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathTokenElement.h +26 -0
  340. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathWildcardAnywhereElement.cpp +23 -0
  341. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathWildcardAnywhereElement.h +23 -0
  342. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathWildcardElement.cpp +24 -0
  343. data/ext/express-parser/antlr4-upstream/runtime/Cpp/runtime/src/tree/xpath/XPathWildcardElement.h +23 -0
  344. data/ext/express-parser/antlrgen/Express.interp +532 -0
  345. data/ext/express-parser/antlrgen/Express.tokens +190 -0
  346. data/ext/express-parser/antlrgen/ExpressBaseListener.cpp +7 -0
  347. data/ext/express-parser/antlrgen/ExpressBaseListener.h +623 -0
  348. data/ext/express-parser/antlrgen/ExpressBaseVisitor.cpp +7 -0
  349. data/ext/express-parser/antlrgen/ExpressBaseVisitor.h +816 -0
  350. data/ext/express-parser/antlrgen/ExpressLexer.cpp +1169 -0
  351. data/ext/express-parser/antlrgen/ExpressLexer.h +85 -0
  352. data/ext/express-parser/antlrgen/ExpressLexer.interp +534 -0
  353. data/ext/express-parser/antlrgen/ExpressLexer.tokens +190 -0
  354. data/ext/express-parser/antlrgen/ExpressListener.cpp +7 -0
  355. data/ext/express-parser/antlrgen/ExpressListener.h +616 -0
  356. data/ext/express-parser/antlrgen/ExpressParser.cpp +17284 -0
  357. data/ext/express-parser/antlrgen/ExpressParser.h +3696 -0
  358. data/ext/express-parser/antlrgen/ExpressVisitor.cpp +7 -0
  359. data/ext/express-parser/antlrgen/ExpressVisitor.h +422 -0
  360. data/ext/express-parser/express_parser.cpp +17931 -0
  361. data/ext/express-parser/extconf.rb +34 -0
  362. data/lib/express_parser.so +0 -0
  363. data/lib/expressir.rb +21 -0
  364. data/lib/expressir/cli.rb +27 -0
  365. data/lib/expressir/cli/ui.rb +36 -0
  366. data/lib/expressir/config.rb +23 -0
  367. data/lib/expressir/express.rb +11 -0
  368. data/lib/expressir/express/aggregate_dimension.rb +38 -0
  369. data/lib/expressir/express/attribute.rb +15 -0
  370. data/lib/expressir/express/comment.rb +7 -0
  371. data/lib/expressir/express/defined_type.rb +36 -0
  372. data/lib/expressir/express/derived.rb +65 -0
  373. data/lib/expressir/express/derived_aggregate.rb +43 -0
  374. data/lib/expressir/express/entity.rb +137 -0
  375. data/lib/expressir/express/explicit.rb +70 -0
  376. data/lib/expressir/express/explicit_aggregate.rb +46 -0
  377. data/lib/expressir/express/explicit_or_derived.rb +16 -0
  378. data/lib/expressir/express/global_rule.rb +44 -0
  379. data/lib/expressir/express/interface_specification.rb +51 -0
  380. data/lib/expressir/express/interfaced_item.rb +38 -0
  381. data/lib/expressir/express/inverse.rb +46 -0
  382. data/lib/expressir/express/inverse_aggregate.rb +37 -0
  383. data/lib/expressir/express/model_element.rb +7 -0
  384. data/lib/expressir/express/named_type.rb +19 -0
  385. data/lib/expressir/express/remark.rb +8 -0
  386. data/lib/expressir/express/repository.rb +306 -0
  387. data/lib/expressir/express/schema_definition.rb +96 -0
  388. data/lib/expressir/express/subtype_constraint.rb +14 -0
  389. data/lib/expressir/express/type.rb +26 -0
  390. data/lib/expressir/express/type_aggregate.rb +42 -0
  391. data/lib/expressir/express/type_enum.rb +29 -0
  392. data/lib/expressir/express/type_parser.rb +45 -0
  393. data/lib/expressir/express/type_select.rb +82 -0
  394. data/lib/expressir/express/unique_rule.rb +35 -0
  395. data/lib/expressir/express/where_rule.rb +32 -0
  396. data/lib/expressir/express_exp/formatter.rb +1450 -0
  397. data/lib/expressir/express_exp/parser.rb +36 -0
  398. data/lib/expressir/express_exp/visitor.rb +2451 -0
  399. data/lib/expressir/express_parser.rb +30 -0
  400. data/lib/expressir/model.rb +65 -0
  401. data/lib/expressir/model/attribute.rb +27 -0
  402. data/lib/expressir/model/constant.rb +17 -0
  403. data/lib/expressir/model/entity.rb +46 -0
  404. data/lib/expressir/model/enumeration_item.rb +11 -0
  405. data/lib/expressir/model/expressions/aggregate_initializer.rb +13 -0
  406. data/lib/expressir/model/expressions/aggregate_item.rb +15 -0
  407. data/lib/expressir/model/expressions/attribute_reference.rb +15 -0
  408. data/lib/expressir/model/expressions/binary_expression.rb +40 -0
  409. data/lib/expressir/model/expressions/call.rb +15 -0
  410. data/lib/expressir/model/expressions/entity_constructor.rb +15 -0
  411. data/lib/expressir/model/expressions/group_reference.rb +15 -0
  412. data/lib/expressir/model/expressions/index_reference.rb +17 -0
  413. data/lib/expressir/model/expressions/interval.rb +21 -0
  414. data/lib/expressir/model/expressions/query_expression.rb +26 -0
  415. data/lib/expressir/model/expressions/simple_reference.rb +13 -0
  416. data/lib/expressir/model/expressions/unary_expression.rb +19 -0
  417. data/lib/expressir/model/function.rb +62 -0
  418. data/lib/expressir/model/identifier.rb +9 -0
  419. data/lib/expressir/model/interface.rb +18 -0
  420. data/lib/expressir/model/literals/binary.rb +13 -0
  421. data/lib/expressir/model/literals/integer.rb +13 -0
  422. data/lib/expressir/model/literals/logical.rb +17 -0
  423. data/lib/expressir/model/literals/real.rb +13 -0
  424. data/lib/expressir/model/literals/string.rb +15 -0
  425. data/lib/expressir/model/parameter.rb +17 -0
  426. data/lib/expressir/model/procedure.rb +60 -0
  427. data/lib/expressir/model/renamed_ref.rb +13 -0
  428. data/lib/expressir/model/repository.rb +19 -0
  429. data/lib/expressir/model/rule.rb +62 -0
  430. data/lib/expressir/model/schema.rb +67 -0
  431. data/lib/expressir/model/scope.rb +17 -0
  432. data/lib/expressir/model/statements/alias.rb +26 -0
  433. data/lib/expressir/model/statements/assignment.rb +15 -0
  434. data/lib/expressir/model/statements/call.rb +15 -0
  435. data/lib/expressir/model/statements/case.rb +17 -0
  436. data/lib/expressir/model/statements/case_action.rb +15 -0
  437. data/lib/expressir/model/statements/compound.rb +13 -0
  438. data/lib/expressir/model/statements/escape.rb +8 -0
  439. data/lib/expressir/model/statements/if.rb +17 -0
  440. data/lib/expressir/model/statements/null.rb +8 -0
  441. data/lib/expressir/model/statements/repeat.rb +34 -0
  442. data/lib/expressir/model/statements/return.rb +13 -0
  443. data/lib/expressir/model/statements/skip.rb +8 -0
  444. data/lib/expressir/model/subtype_constraint.rb +27 -0
  445. data/lib/expressir/model/type.rb +24 -0
  446. data/lib/expressir/model/types/aggregate.rb +17 -0
  447. data/lib/expressir/model/types/array.rb +21 -0
  448. data/lib/expressir/model/types/bag.rb +17 -0
  449. data/lib/expressir/model/types/binary.rb +15 -0
  450. data/lib/expressir/model/types/boolean.rb +8 -0
  451. data/lib/expressir/model/types/enumeration.rb +19 -0
  452. data/lib/expressir/model/types/generic.rb +13 -0
  453. data/lib/expressir/model/types/generic_entity.rb +13 -0
  454. data/lib/expressir/model/types/integer.rb +8 -0
  455. data/lib/expressir/model/types/list.rb +19 -0
  456. data/lib/expressir/model/types/logical.rb +8 -0
  457. data/lib/expressir/model/types/number.rb +8 -0
  458. data/lib/expressir/model/types/real.rb +13 -0
  459. data/lib/expressir/model/types/select.rb +21 -0
  460. data/lib/expressir/model/types/set.rb +17 -0
  461. data/lib/expressir/model/types/string.rb +15 -0
  462. data/lib/expressir/model/unique.rb +15 -0
  463. data/lib/expressir/model/variable.rb +17 -0
  464. data/lib/expressir/model/where.rb +15 -0
  465. data/lib/expressir/parser.rb +6 -0
  466. data/lib/expressir/parser/owl_parser.rb +8 -0
  467. data/lib/expressir/version.rb +3 -0
  468. data/original/examples/ap233/ap233e1_arm_lf_stepmod-2010-11-12.exp +9589 -0
  469. data/original/examples/ap233/ap233e1_arm_lf_stepmod-2010-11-12.owl +36619 -0
  470. data/original/examples/ap233/ap233e1_arm_lf_stepmod-2010-11-12.xml +13294 -0
  471. data/original/examples/employment/eclipse/.project +17 -0
  472. data/original/examples/employment/eclipse/Export/Employment.png +0 -0
  473. data/original/examples/employment/eclipse/Express/employment_schema.exp +33 -0
  474. data/original/examples/employment/eclipse/Express/employment_schema.xmi +77 -0
  475. data/original/examples/employment/eclipse/Express/employment_schema.xml +93 -0
  476. data/original/examples/employment/eclipse/Models/Employment.uml +4 -0
  477. data/original/examples/employment/eclipse/Models/Employment.umldi +240 -0
  478. data/original/examples/employment/eclipse/readme.txt +7 -0
  479. data/original/examples/employment/employment_schema.exp +33 -0
  480. data/original/examples/employment/employment_schema.rb +232 -0
  481. data/original/examples/employment/employment_schema.xml +93 -0
  482. data/original/examples/employment/employment_schema___module.rb +46 -0
  483. data/original/examples/employment/employment_schema___p28attr.rb +126 -0
  484. data/original/examples/employment/employment_schema___p28inst.rb +26 -0
  485. data/original/examples/employment/example_employment_data.xml +1 -0
  486. data/original/examples/employment/example_employment_data_copy.xml +1 -0
  487. data/original/examples/employment/example_employment_reader.rb +30 -0
  488. data/original/examples/employment/example_employment_writer.rb +51 -0
  489. data/original/examples/plcs/ap239e1_arm_lf_dexlib_2010-01-06.exp +3710 -0
  490. data/original/examples/plcs/ap239e1_arm_lf_dexlib_2010-01-06.owl +35880 -0
  491. data/original/examples/plcs/ap239e1_arm_lf_dexlib_2010-01-06.xmi +15357 -0
  492. data/original/examples/plcs/ap239e1_arm_lf_dexlib_2010-01-06.xml +9468 -0
  493. data/original/examples/plcs/ap239e2_arm_lf_stepmod-2010-01-25.exp +8404 -0
  494. data/original/examples/plcs/ap239e2_arm_lf_stepmod-2010-01-25.owl +43147 -0
  495. data/original/examples/plcs/ap239e2_arm_lf_stepmod-2010-01-25.xmi +18341 -0
  496. data/original/examples/plcs/ap239e2_arm_lf_stepmod-2010-01-25.xml +11632 -0
  497. data/original/examples/syntax/remark.exp +145 -0
  498. data/original/examples/syntax/remark_formatted.exp +174 -0
  499. data/original/examples/syntax/syntax.exp +310 -0
  500. data/original/examples/syntax/syntax_formatted.exp +1187 -0
  501. data/original/exp2ruby.rb +525 -0
  502. data/original/expsm.rb +34 -0
  503. data/original/mapping_owl.rb +1018 -0
  504. data/original/mapping_sysml.rb +2281 -0
  505. data/original/mapping_uml2.rb +599 -0
  506. data/original/mapping_uml2_eclipse.rb +433 -0
  507. data/original/reeper.rb +134 -0
  508. data/spec/acceptance/express_to_owl_spec.rb +18 -0
  509. data/spec/acceptance/version_spec.rb +12 -0
  510. data/spec/expressir/express/repository_spec.rb +25 -0
  511. data/spec/expressir/express_exp/ap233_spec.rb +22 -0
  512. data/spec/expressir/express_exp/format_remark_spec.rb +28 -0
  513. data/spec/expressir/express_exp/format_syntax_spec.rb +28 -0
  514. data/spec/expressir/express_exp/parse_remark_spec.rb +345 -0
  515. data/spec/expressir/express_exp/parse_syntax_spec.rb +2998 -0
  516. data/spec/expressir/model/find_spec.rb +110 -0
  517. data/spec/expressr_spec.rb +5 -0
  518. data/spec/spec_helper.rb +17 -0
  519. data/spec/support/console_helper.rb +29 -0
  520. metadata +695 -0
@@ -0,0 +1,3696 @@
1
+
2
+ // Generated from Express.g4 by ANTLR 4.8
3
+
4
+ #pragma once
5
+
6
+
7
+ #include "antlr4-runtime.h"
8
+
9
+
10
+
11
+
12
+ class ExpressParser : public antlr4::Parser {
13
+ public:
14
+ enum {
15
+ T__0 = 1, T__1 = 2, T__2 = 3, T__3 = 4, T__4 = 5, T__5 = 6, T__6 = 7,
16
+ T__7 = 8, T__8 = 9, T__9 = 10, T__10 = 11, T__11 = 12, T__12 = 13, T__13 = 14,
17
+ T__14 = 15, T__15 = 16, T__16 = 17, T__17 = 18, T__18 = 19, T__19 = 20,
18
+ T__20 = 21, T__21 = 22, T__22 = 23, T__23 = 24, T__24 = 25, T__25 = 26,
19
+ T__26 = 27, T__27 = 28, T__28 = 29, ABS = 30, ABSTRACT = 31, ACOS = 32,
20
+ AGGREGATE = 33, ALIAS = 34, AND = 35, ANDOR = 36, ARRAY = 37, AS = 38,
21
+ ASIN = 39, ATAN = 40, BAG = 41, BASED_ON = 42, BEGIN_ = 43, BINARY = 44,
22
+ BLENGTH = 45, BOOLEAN = 46, BY = 47, CASE = 48, CONSTANT = 49, CONST_E = 50,
23
+ COS = 51, DERIVE = 52, DIV = 53, ELSE = 54, END_ = 55, END_ALIAS = 56,
24
+ END_CASE = 57, END_CONSTANT = 58, END_ENTITY = 59, END_FUNCTION = 60,
25
+ END_IF = 61, END_LOCAL = 62, END_PROCEDURE = 63, END_REPEAT = 64, END_RULE = 65,
26
+ END_SCHEMA = 66, END_SUBTYPE_CONSTRAINT = 67, END_TYPE = 68, ENTITY = 69,
27
+ ENUMERATION = 70, ESCAPE = 71, EXISTS = 72, EXP = 73, EXTENSIBLE = 74,
28
+ FALSE = 75, FIXED = 76, FOR = 77, FORMAT = 78, FROM = 79, FUNCTION = 80,
29
+ GENERIC = 81, GENERIC_ENTITY = 82, HIBOUND = 83, HIINDEX = 84, IF = 85,
30
+ IN = 86, INSERT = 87, INTEGER = 88, INVERSE = 89, LENGTH = 90, LIKE = 91,
31
+ LIST = 92, LOBOUND = 93, LOCAL = 94, LOG = 95, LOG10 = 96, LOG2 = 97,
32
+ LOGICAL = 98, LOINDEX = 99, MOD = 100, NOT = 101, NUMBER = 102, NVL = 103,
33
+ ODD = 104, OF = 105, ONEOF = 106, OPTIONAL = 107, OR = 108, OTHERWISE = 109,
34
+ PI = 110, PROCEDURE = 111, QUERY = 112, REAL = 113, REFERENCE = 114,
35
+ REMOVE = 115, RENAMED = 116, REPEAT = 117, RETURN = 118, ROLESOF = 119,
36
+ RULE = 120, SCHEMA = 121, SELECT = 122, SELF = 123, SET = 124, SIN = 125,
37
+ SIZEOF = 126, SKIP_ = 127, SQRT = 128, STRING = 129, SUBTYPE = 130,
38
+ SUBTYPE_CONSTRAINT = 131, SUPERTYPE = 132, TAN = 133, THEN = 134, TO = 135,
39
+ TRUE = 136, TYPE = 137, TYPEOF = 138, TOTAL_OVER = 139, UNIQUE = 140,
40
+ UNKNOWN = 141, UNTIL = 142, USE = 143, USEDIN = 144, VALUE_ = 145, VALUE_IN = 146,
41
+ VALUE_UNIQUE = 147, VAR = 148, WITH = 149, WHERE = 150, WHILE = 151,
42
+ XOR = 152, BinaryLiteral = 153, EncodedStringLiteral = 154, IntegerLiteral = 155,
43
+ RealLiteral = 156, SimpleId = 157, SimpleStringLiteral = 158, EmbeddedRemark = 159,
44
+ TailRemark = 160, Whitespace = 161
45
+ };
46
+
47
+ enum {
48
+ RuleAttributeRef = 0, RuleConstantRef = 1, RuleEntityRef = 2, RuleEnumerationRef = 3,
49
+ RuleFunctionRef = 4, RuleParameterRef = 5, RuleProcedureRef = 6, RuleRuleLabelRef = 7,
50
+ RuleRuleRef = 8, RuleSchemaRef = 9, RuleSubtypeConstraintRef = 10, RuleTypeLabelRef = 11,
51
+ RuleTypeRef = 12, RuleVariableRef = 13, RuleAbstractEntityDeclaration = 14,
52
+ RuleAbstractSupertype = 15, RuleAbstractSupertypeDeclaration = 16, RuleActualParameterList = 17,
53
+ RuleAddLikeOp = 18, RuleAggregateInitializer = 19, RuleAggregateSource = 20,
54
+ RuleAggregateType = 21, RuleAggregationTypes = 22, RuleAlgorithmHead = 23,
55
+ RuleAliasStmt = 24, RuleArrayType = 25, RuleAssignmentStmt = 26, RuleAttributeDecl = 27,
56
+ RuleAttributeId = 28, RuleAttributeQualifier = 29, RuleBagType = 30,
57
+ RuleBinaryType = 31, RuleBooleanType = 32, RuleBound1 = 33, RuleBound2 = 34,
58
+ RuleBoundSpec = 35, RuleBuiltInConstant = 36, RuleBuiltInFunction = 37,
59
+ RuleBuiltInProcedure = 38, RuleCaseAction = 39, RuleCaseLabel = 40,
60
+ RuleCaseStmt = 41, RuleCompoundStmt = 42, RuleConcreteTypes = 43, RuleConstantBody = 44,
61
+ RuleConstantDecl = 45, RuleConstantFactor = 46, RuleConstantId = 47,
62
+ RuleConstructedTypes = 48, RuleDeclaration = 49, RuleDerivedAttr = 50,
63
+ RuleDeriveClause = 51, RuleDomainRule = 52, RuleElement = 53, RuleEntityBody = 54,
64
+ RuleEntityConstructor = 55, RuleEntityDecl = 56, RuleEntityHead = 57,
65
+ RuleEntityId = 58, RuleEnumerationExtension = 59, RuleEnumerationId = 60,
66
+ RuleEnumerationItems = 61, RuleEnumerationItem = 62, RuleEnumerationReference = 63,
67
+ RuleEnumerationType = 64, RuleEscapeStmt = 65, RuleExplicitAttr = 66,
68
+ RuleExpression = 67, RuleFactor = 68, RuleFormalParameter = 69, RuleFunctionCall = 70,
69
+ RuleFunctionDecl = 71, RuleFunctionHead = 72, RuleFunctionId = 73, RuleGeneralizedTypes = 74,
70
+ RuleGeneralAggregationTypes = 75, RuleGeneralArrayType = 76, RuleGeneralBagType = 77,
71
+ RuleGeneralListType = 78, RuleGeneralRef = 79, RuleGeneralSetType = 80,
72
+ RuleGenericEntityType = 81, RuleGenericType = 82, RuleGroupQualifier = 83,
73
+ RuleIfStmt = 84, RuleIfStmtStatements = 85, RuleIfStmtElseStatements = 86,
74
+ RuleIncrement = 87, RuleIncrementControl = 88, RuleIndex = 89, RuleIndex1 = 90,
75
+ RuleIndex2 = 91, RuleIndexQualifier = 92, RuleInstantiableType = 93,
76
+ RuleIntegerType = 94, RuleInterfaceSpecification = 95, RuleInterval = 96,
77
+ RuleIntervalHigh = 97, RuleIntervalItem = 98, RuleIntervalLow = 99,
78
+ RuleIntervalOp = 100, RuleInverseAttr = 101, RuleInverseAttrType = 102,
79
+ RuleInverseClause = 103, RuleListType = 104, RuleLiteral = 105, RuleLocalDecl = 106,
80
+ RuleLocalVariable = 107, RuleLogicalExpression = 108, RuleLogicalLiteral = 109,
81
+ RuleLogicalType = 110, RuleMultiplicationLikeOp = 111, RuleNamedTypes = 112,
82
+ RuleNamedTypeOrRename = 113, RuleNullStmt = 114, RuleNumberType = 115,
83
+ RuleNumericExpression = 116, RuleOneOf = 117, RuleParameter = 118, RuleParameterId = 119,
84
+ RuleParameterType = 120, RulePopulation = 121, RulePrecisionSpec = 122,
85
+ RulePrimary = 123, RuleProcedureCallStmt = 124, RuleProcedureDecl = 125,
86
+ RuleProcedureHead = 126, RuleProcedureHeadParameter = 127, RuleProcedureId = 128,
87
+ RuleQualifiableFactor = 129, RuleQualifiedAttribute = 130, RuleQualifier = 131,
88
+ RuleQueryExpression = 132, RuleRealType = 133, RuleRedeclaredAttribute = 134,
89
+ RuleReferencedAttribute = 135, RuleReferenceClause = 136, RuleRelOp = 137,
90
+ RuleRelOpExtended = 138, RuleRenameId = 139, RuleRepeatControl = 140,
91
+ RuleRepeatStmt = 141, RuleRepetition = 142, RuleResourceOrRename = 143,
92
+ RuleResourceRef = 144, RuleReturnStmt = 145, RuleRuleDecl = 146, RuleRuleHead = 147,
93
+ RuleRuleId = 148, RuleRuleLabelId = 149, RuleSchemaBody = 150, RuleSchemaBodyDeclaration = 151,
94
+ RuleSchemaDecl = 152, RuleSchemaId = 153, RuleSchemaVersionId = 154,
95
+ RuleSelector = 155, RuleSelectExtension = 156, RuleSelectList = 157,
96
+ RuleSelectType = 158, RuleSetType = 159, RuleSimpleExpression = 160,
97
+ RuleSimpleFactor = 161, RuleSimpleFactorExpression = 162, RuleSimpleFactorUnaryExpression = 163,
98
+ RuleSimpleTypes = 164, RuleSkipStmt = 165, RuleStmt = 166, RuleStringLiteral = 167,
99
+ RuleStringType = 168, RuleSubsuper = 169, RuleSubtypeConstraint = 170,
100
+ RuleSubtypeConstraintBody = 171, RuleSubtypeConstraintDecl = 172, RuleSubtypeConstraintHead = 173,
101
+ RuleSubtypeConstraintId = 174, RuleSubtypeDeclaration = 175, RuleSupertypeConstraint = 176,
102
+ RuleSupertypeExpression = 177, RuleSupertypeFactor = 178, RuleSupertypeRule = 179,
103
+ RuleSupertypeTerm = 180, RuleSyntax = 181, RuleTerm = 182, RuleTotalOver = 183,
104
+ RuleTypeDecl = 184, RuleTypeId = 185, RuleTypeLabel = 186, RuleTypeLabelId = 187,
105
+ RuleUnaryOp = 188, RuleUnderlyingType = 189, RuleUniqueClause = 190,
106
+ RuleUniqueRule = 191, RuleUntilControl = 192, RuleUseClause = 193, RuleVariableId = 194,
107
+ RuleWhereClause = 195, RuleWhileControl = 196, RuleWidth = 197, RuleWidthSpec = 198
108
+ };
109
+
110
+ ExpressParser(antlr4::TokenStream *input);
111
+ ~ExpressParser();
112
+
113
+ virtual std::string getGrammarFileName() const override;
114
+ virtual const antlr4::atn::ATN& getATN() const override { return _atn; };
115
+ virtual const std::vector<std::string>& getTokenNames() const override { return _tokenNames; }; // deprecated: use vocabulary instead.
116
+ virtual const std::vector<std::string>& getRuleNames() const override;
117
+ virtual antlr4::dfa::Vocabulary& getVocabulary() const override;
118
+
119
+
120
+ class AttributeRefContext;
121
+ class ConstantRefContext;
122
+ class EntityRefContext;
123
+ class EnumerationRefContext;
124
+ class FunctionRefContext;
125
+ class ParameterRefContext;
126
+ class ProcedureRefContext;
127
+ class RuleLabelRefContext;
128
+ class RuleRefContext;
129
+ class SchemaRefContext;
130
+ class SubtypeConstraintRefContext;
131
+ class TypeLabelRefContext;
132
+ class TypeRefContext;
133
+ class VariableRefContext;
134
+ class AbstractEntityDeclarationContext;
135
+ class AbstractSupertypeContext;
136
+ class AbstractSupertypeDeclarationContext;
137
+ class ActualParameterListContext;
138
+ class AddLikeOpContext;
139
+ class AggregateInitializerContext;
140
+ class AggregateSourceContext;
141
+ class AggregateTypeContext;
142
+ class AggregationTypesContext;
143
+ class AlgorithmHeadContext;
144
+ class AliasStmtContext;
145
+ class ArrayTypeContext;
146
+ class AssignmentStmtContext;
147
+ class AttributeDeclContext;
148
+ class AttributeIdContext;
149
+ class AttributeQualifierContext;
150
+ class BagTypeContext;
151
+ class BinaryTypeContext;
152
+ class BooleanTypeContext;
153
+ class Bound1Context;
154
+ class Bound2Context;
155
+ class BoundSpecContext;
156
+ class BuiltInConstantContext;
157
+ class BuiltInFunctionContext;
158
+ class BuiltInProcedureContext;
159
+ class CaseActionContext;
160
+ class CaseLabelContext;
161
+ class CaseStmtContext;
162
+ class CompoundStmtContext;
163
+ class ConcreteTypesContext;
164
+ class ConstantBodyContext;
165
+ class ConstantDeclContext;
166
+ class ConstantFactorContext;
167
+ class ConstantIdContext;
168
+ class ConstructedTypesContext;
169
+ class DeclarationContext;
170
+ class DerivedAttrContext;
171
+ class DeriveClauseContext;
172
+ class DomainRuleContext;
173
+ class ElementContext;
174
+ class EntityBodyContext;
175
+ class EntityConstructorContext;
176
+ class EntityDeclContext;
177
+ class EntityHeadContext;
178
+ class EntityIdContext;
179
+ class EnumerationExtensionContext;
180
+ class EnumerationIdContext;
181
+ class EnumerationItemsContext;
182
+ class EnumerationItemContext;
183
+ class EnumerationReferenceContext;
184
+ class EnumerationTypeContext;
185
+ class EscapeStmtContext;
186
+ class ExplicitAttrContext;
187
+ class ExpressionContext;
188
+ class FactorContext;
189
+ class FormalParameterContext;
190
+ class FunctionCallContext;
191
+ class FunctionDeclContext;
192
+ class FunctionHeadContext;
193
+ class FunctionIdContext;
194
+ class GeneralizedTypesContext;
195
+ class GeneralAggregationTypesContext;
196
+ class GeneralArrayTypeContext;
197
+ class GeneralBagTypeContext;
198
+ class GeneralListTypeContext;
199
+ class GeneralRefContext;
200
+ class GeneralSetTypeContext;
201
+ class GenericEntityTypeContext;
202
+ class GenericTypeContext;
203
+ class GroupQualifierContext;
204
+ class IfStmtContext;
205
+ class IfStmtStatementsContext;
206
+ class IfStmtElseStatementsContext;
207
+ class IncrementContext;
208
+ class IncrementControlContext;
209
+ class IndexContext;
210
+ class Index1Context;
211
+ class Index2Context;
212
+ class IndexQualifierContext;
213
+ class InstantiableTypeContext;
214
+ class IntegerTypeContext;
215
+ class InterfaceSpecificationContext;
216
+ class IntervalContext;
217
+ class IntervalHighContext;
218
+ class IntervalItemContext;
219
+ class IntervalLowContext;
220
+ class IntervalOpContext;
221
+ class InverseAttrContext;
222
+ class InverseAttrTypeContext;
223
+ class InverseClauseContext;
224
+ class ListTypeContext;
225
+ class LiteralContext;
226
+ class LocalDeclContext;
227
+ class LocalVariableContext;
228
+ class LogicalExpressionContext;
229
+ class LogicalLiteralContext;
230
+ class LogicalTypeContext;
231
+ class MultiplicationLikeOpContext;
232
+ class NamedTypesContext;
233
+ class NamedTypeOrRenameContext;
234
+ class NullStmtContext;
235
+ class NumberTypeContext;
236
+ class NumericExpressionContext;
237
+ class OneOfContext;
238
+ class ParameterContext;
239
+ class ParameterIdContext;
240
+ class ParameterTypeContext;
241
+ class PopulationContext;
242
+ class PrecisionSpecContext;
243
+ class PrimaryContext;
244
+ class ProcedureCallStmtContext;
245
+ class ProcedureDeclContext;
246
+ class ProcedureHeadContext;
247
+ class ProcedureHeadParameterContext;
248
+ class ProcedureIdContext;
249
+ class QualifiableFactorContext;
250
+ class QualifiedAttributeContext;
251
+ class QualifierContext;
252
+ class QueryExpressionContext;
253
+ class RealTypeContext;
254
+ class RedeclaredAttributeContext;
255
+ class ReferencedAttributeContext;
256
+ class ReferenceClauseContext;
257
+ class RelOpContext;
258
+ class RelOpExtendedContext;
259
+ class RenameIdContext;
260
+ class RepeatControlContext;
261
+ class RepeatStmtContext;
262
+ class RepetitionContext;
263
+ class ResourceOrRenameContext;
264
+ class ResourceRefContext;
265
+ class ReturnStmtContext;
266
+ class RuleDeclContext;
267
+ class RuleHeadContext;
268
+ class RuleIdContext;
269
+ class RuleLabelIdContext;
270
+ class SchemaBodyContext;
271
+ class SchemaBodyDeclarationContext;
272
+ class SchemaDeclContext;
273
+ class SchemaIdContext;
274
+ class SchemaVersionIdContext;
275
+ class SelectorContext;
276
+ class SelectExtensionContext;
277
+ class SelectListContext;
278
+ class SelectTypeContext;
279
+ class SetTypeContext;
280
+ class SimpleExpressionContext;
281
+ class SimpleFactorContext;
282
+ class SimpleFactorExpressionContext;
283
+ class SimpleFactorUnaryExpressionContext;
284
+ class SimpleTypesContext;
285
+ class SkipStmtContext;
286
+ class StmtContext;
287
+ class StringLiteralContext;
288
+ class StringTypeContext;
289
+ class SubsuperContext;
290
+ class SubtypeConstraintContext;
291
+ class SubtypeConstraintBodyContext;
292
+ class SubtypeConstraintDeclContext;
293
+ class SubtypeConstraintHeadContext;
294
+ class SubtypeConstraintIdContext;
295
+ class SubtypeDeclarationContext;
296
+ class SupertypeConstraintContext;
297
+ class SupertypeExpressionContext;
298
+ class SupertypeFactorContext;
299
+ class SupertypeRuleContext;
300
+ class SupertypeTermContext;
301
+ class SyntaxContext;
302
+ class TermContext;
303
+ class TotalOverContext;
304
+ class TypeDeclContext;
305
+ class TypeIdContext;
306
+ class TypeLabelContext;
307
+ class TypeLabelIdContext;
308
+ class UnaryOpContext;
309
+ class UnderlyingTypeContext;
310
+ class UniqueClauseContext;
311
+ class UniqueRuleContext;
312
+ class UntilControlContext;
313
+ class UseClauseContext;
314
+ class VariableIdContext;
315
+ class WhereClauseContext;
316
+ class WhileControlContext;
317
+ class WidthContext;
318
+ class WidthSpecContext;
319
+
320
+ class AttributeRefContext : public antlr4::ParserRuleContext {
321
+ public:
322
+ AttributeRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
323
+ virtual size_t getRuleIndex() const override;
324
+ AttributeIdContext *attributeId();
325
+
326
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
327
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
328
+
329
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
330
+
331
+ };
332
+
333
+ AttributeRefContext* attributeRef();
334
+
335
+ class ConstantRefContext : public antlr4::ParserRuleContext {
336
+ public:
337
+ ConstantRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
338
+ virtual size_t getRuleIndex() const override;
339
+ ConstantIdContext *constantId();
340
+
341
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
342
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
343
+
344
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
345
+
346
+ };
347
+
348
+ ConstantRefContext* constantRef();
349
+
350
+ class EntityRefContext : public antlr4::ParserRuleContext {
351
+ public:
352
+ EntityRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
353
+ virtual size_t getRuleIndex() const override;
354
+ EntityIdContext *entityId();
355
+
356
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
357
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
358
+
359
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
360
+
361
+ };
362
+
363
+ EntityRefContext* entityRef();
364
+
365
+ class EnumerationRefContext : public antlr4::ParserRuleContext {
366
+ public:
367
+ EnumerationRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
368
+ virtual size_t getRuleIndex() const override;
369
+ EnumerationIdContext *enumerationId();
370
+
371
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
372
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
373
+
374
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
375
+
376
+ };
377
+
378
+ EnumerationRefContext* enumerationRef();
379
+
380
+ class FunctionRefContext : public antlr4::ParserRuleContext {
381
+ public:
382
+ FunctionRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
383
+ virtual size_t getRuleIndex() const override;
384
+ FunctionIdContext *functionId();
385
+
386
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
387
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
388
+
389
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
390
+
391
+ };
392
+
393
+ FunctionRefContext* functionRef();
394
+
395
+ class ParameterRefContext : public antlr4::ParserRuleContext {
396
+ public:
397
+ ParameterRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
398
+ virtual size_t getRuleIndex() const override;
399
+ ParameterIdContext *parameterId();
400
+
401
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
402
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
403
+
404
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
405
+
406
+ };
407
+
408
+ ParameterRefContext* parameterRef();
409
+
410
+ class ProcedureRefContext : public antlr4::ParserRuleContext {
411
+ public:
412
+ ProcedureRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
413
+ virtual size_t getRuleIndex() const override;
414
+ ProcedureIdContext *procedureId();
415
+
416
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
417
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
418
+
419
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
420
+
421
+ };
422
+
423
+ ProcedureRefContext* procedureRef();
424
+
425
+ class RuleLabelRefContext : public antlr4::ParserRuleContext {
426
+ public:
427
+ RuleLabelRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
428
+ virtual size_t getRuleIndex() const override;
429
+ RuleLabelIdContext *ruleLabelId();
430
+
431
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
432
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
433
+
434
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
435
+
436
+ };
437
+
438
+ RuleLabelRefContext* ruleLabelRef();
439
+
440
+ class RuleRefContext : public antlr4::ParserRuleContext {
441
+ public:
442
+ RuleRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
443
+ virtual size_t getRuleIndex() const override;
444
+ RuleIdContext *ruleId();
445
+
446
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
447
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
448
+
449
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
450
+
451
+ };
452
+
453
+ RuleRefContext* ruleRef();
454
+
455
+ class SchemaRefContext : public antlr4::ParserRuleContext {
456
+ public:
457
+ SchemaRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
458
+ virtual size_t getRuleIndex() const override;
459
+ SchemaIdContext *schemaId();
460
+
461
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
462
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
463
+
464
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
465
+
466
+ };
467
+
468
+ SchemaRefContext* schemaRef();
469
+
470
+ class SubtypeConstraintRefContext : public antlr4::ParserRuleContext {
471
+ public:
472
+ SubtypeConstraintRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
473
+ virtual size_t getRuleIndex() const override;
474
+ SubtypeConstraintIdContext *subtypeConstraintId();
475
+
476
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
477
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
478
+
479
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
480
+
481
+ };
482
+
483
+ SubtypeConstraintRefContext* subtypeConstraintRef();
484
+
485
+ class TypeLabelRefContext : public antlr4::ParserRuleContext {
486
+ public:
487
+ TypeLabelRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
488
+ virtual size_t getRuleIndex() const override;
489
+ TypeLabelIdContext *typeLabelId();
490
+
491
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
492
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
493
+
494
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
495
+
496
+ };
497
+
498
+ TypeLabelRefContext* typeLabelRef();
499
+
500
+ class TypeRefContext : public antlr4::ParserRuleContext {
501
+ public:
502
+ TypeRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
503
+ virtual size_t getRuleIndex() const override;
504
+ TypeIdContext *typeId();
505
+
506
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
507
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
508
+
509
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
510
+
511
+ };
512
+
513
+ TypeRefContext* typeRef();
514
+
515
+ class VariableRefContext : public antlr4::ParserRuleContext {
516
+ public:
517
+ VariableRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
518
+ virtual size_t getRuleIndex() const override;
519
+ VariableIdContext *variableId();
520
+
521
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
522
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
523
+
524
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
525
+
526
+ };
527
+
528
+ VariableRefContext* variableRef();
529
+
530
+ class AbstractEntityDeclarationContext : public antlr4::ParserRuleContext {
531
+ public:
532
+ AbstractEntityDeclarationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
533
+ virtual size_t getRuleIndex() const override;
534
+ antlr4::tree::TerminalNode *ABSTRACT();
535
+
536
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
537
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
538
+
539
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
540
+
541
+ };
542
+
543
+ AbstractEntityDeclarationContext* abstractEntityDeclaration();
544
+
545
+ class AbstractSupertypeContext : public antlr4::ParserRuleContext {
546
+ public:
547
+ AbstractSupertypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
548
+ virtual size_t getRuleIndex() const override;
549
+ antlr4::tree::TerminalNode *ABSTRACT();
550
+ antlr4::tree::TerminalNode *SUPERTYPE();
551
+
552
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
553
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
554
+
555
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
556
+
557
+ };
558
+
559
+ AbstractSupertypeContext* abstractSupertype();
560
+
561
+ class AbstractSupertypeDeclarationContext : public antlr4::ParserRuleContext {
562
+ public:
563
+ AbstractSupertypeDeclarationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
564
+ virtual size_t getRuleIndex() const override;
565
+ antlr4::tree::TerminalNode *ABSTRACT();
566
+ antlr4::tree::TerminalNode *SUPERTYPE();
567
+ SubtypeConstraintContext *subtypeConstraint();
568
+
569
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
570
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
571
+
572
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
573
+
574
+ };
575
+
576
+ AbstractSupertypeDeclarationContext* abstractSupertypeDeclaration();
577
+
578
+ class ActualParameterListContext : public antlr4::ParserRuleContext {
579
+ public:
580
+ ActualParameterListContext(antlr4::ParserRuleContext *parent, size_t invokingState);
581
+ virtual size_t getRuleIndex() const override;
582
+ std::vector<ParameterContext *> parameter();
583
+ ParameterContext* parameter(size_t i);
584
+
585
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
586
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
587
+
588
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
589
+
590
+ };
591
+
592
+ ActualParameterListContext* actualParameterList();
593
+
594
+ class AddLikeOpContext : public antlr4::ParserRuleContext {
595
+ public:
596
+ AddLikeOpContext(antlr4::ParserRuleContext *parent, size_t invokingState);
597
+ virtual size_t getRuleIndex() const override;
598
+ antlr4::tree::TerminalNode *OR();
599
+ antlr4::tree::TerminalNode *XOR();
600
+
601
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
602
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
603
+
604
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
605
+
606
+ };
607
+
608
+ AddLikeOpContext* addLikeOp();
609
+
610
+ class AggregateInitializerContext : public antlr4::ParserRuleContext {
611
+ public:
612
+ AggregateInitializerContext(antlr4::ParserRuleContext *parent, size_t invokingState);
613
+ virtual size_t getRuleIndex() const override;
614
+ std::vector<ElementContext *> element();
615
+ ElementContext* element(size_t i);
616
+
617
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
618
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
619
+
620
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
621
+
622
+ };
623
+
624
+ AggregateInitializerContext* aggregateInitializer();
625
+
626
+ class AggregateSourceContext : public antlr4::ParserRuleContext {
627
+ public:
628
+ AggregateSourceContext(antlr4::ParserRuleContext *parent, size_t invokingState);
629
+ virtual size_t getRuleIndex() const override;
630
+ SimpleExpressionContext *simpleExpression();
631
+
632
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
633
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
634
+
635
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
636
+
637
+ };
638
+
639
+ AggregateSourceContext* aggregateSource();
640
+
641
+ class AggregateTypeContext : public antlr4::ParserRuleContext {
642
+ public:
643
+ AggregateTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
644
+ virtual size_t getRuleIndex() const override;
645
+ antlr4::tree::TerminalNode *AGGREGATE();
646
+ antlr4::tree::TerminalNode *OF();
647
+ ParameterTypeContext *parameterType();
648
+ TypeLabelContext *typeLabel();
649
+
650
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
651
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
652
+
653
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
654
+
655
+ };
656
+
657
+ AggregateTypeContext* aggregateType();
658
+
659
+ class AggregationTypesContext : public antlr4::ParserRuleContext {
660
+ public:
661
+ AggregationTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
662
+ virtual size_t getRuleIndex() const override;
663
+ ArrayTypeContext *arrayType();
664
+ BagTypeContext *bagType();
665
+ ListTypeContext *listType();
666
+ SetTypeContext *setType();
667
+
668
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
669
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
670
+
671
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
672
+
673
+ };
674
+
675
+ AggregationTypesContext* aggregationTypes();
676
+
677
+ class AlgorithmHeadContext : public antlr4::ParserRuleContext {
678
+ public:
679
+ AlgorithmHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
680
+ virtual size_t getRuleIndex() const override;
681
+ std::vector<DeclarationContext *> declaration();
682
+ DeclarationContext* declaration(size_t i);
683
+ ConstantDeclContext *constantDecl();
684
+ LocalDeclContext *localDecl();
685
+
686
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
687
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
688
+
689
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
690
+
691
+ };
692
+
693
+ AlgorithmHeadContext* algorithmHead();
694
+
695
+ class AliasStmtContext : public antlr4::ParserRuleContext {
696
+ public:
697
+ AliasStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
698
+ virtual size_t getRuleIndex() const override;
699
+ antlr4::tree::TerminalNode *ALIAS();
700
+ VariableIdContext *variableId();
701
+ antlr4::tree::TerminalNode *FOR();
702
+ GeneralRefContext *generalRef();
703
+ std::vector<StmtContext *> stmt();
704
+ StmtContext* stmt(size_t i);
705
+ antlr4::tree::TerminalNode *END_ALIAS();
706
+ std::vector<QualifierContext *> qualifier();
707
+ QualifierContext* qualifier(size_t i);
708
+
709
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
710
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
711
+
712
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
713
+
714
+ };
715
+
716
+ AliasStmtContext* aliasStmt();
717
+
718
+ class ArrayTypeContext : public antlr4::ParserRuleContext {
719
+ public:
720
+ ArrayTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
721
+ virtual size_t getRuleIndex() const override;
722
+ antlr4::tree::TerminalNode *ARRAY();
723
+ BoundSpecContext *boundSpec();
724
+ antlr4::tree::TerminalNode *OF();
725
+ InstantiableTypeContext *instantiableType();
726
+ antlr4::tree::TerminalNode *OPTIONAL();
727
+ antlr4::tree::TerminalNode *UNIQUE();
728
+
729
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
730
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
731
+
732
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
733
+
734
+ };
735
+
736
+ ArrayTypeContext* arrayType();
737
+
738
+ class AssignmentStmtContext : public antlr4::ParserRuleContext {
739
+ public:
740
+ AssignmentStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
741
+ virtual size_t getRuleIndex() const override;
742
+ GeneralRefContext *generalRef();
743
+ ExpressionContext *expression();
744
+ std::vector<QualifierContext *> qualifier();
745
+ QualifierContext* qualifier(size_t i);
746
+
747
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
748
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
749
+
750
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
751
+
752
+ };
753
+
754
+ AssignmentStmtContext* assignmentStmt();
755
+
756
+ class AttributeDeclContext : public antlr4::ParserRuleContext {
757
+ public:
758
+ AttributeDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
759
+ virtual size_t getRuleIndex() const override;
760
+ AttributeIdContext *attributeId();
761
+ RedeclaredAttributeContext *redeclaredAttribute();
762
+
763
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
764
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
765
+
766
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
767
+
768
+ };
769
+
770
+ AttributeDeclContext* attributeDecl();
771
+
772
+ class AttributeIdContext : public antlr4::ParserRuleContext {
773
+ public:
774
+ AttributeIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
775
+ virtual size_t getRuleIndex() const override;
776
+ antlr4::tree::TerminalNode *SimpleId();
777
+
778
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
779
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
780
+
781
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
782
+
783
+ };
784
+
785
+ AttributeIdContext* attributeId();
786
+
787
+ class AttributeQualifierContext : public antlr4::ParserRuleContext {
788
+ public:
789
+ AttributeQualifierContext(antlr4::ParserRuleContext *parent, size_t invokingState);
790
+ virtual size_t getRuleIndex() const override;
791
+ AttributeRefContext *attributeRef();
792
+
793
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
794
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
795
+
796
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
797
+
798
+ };
799
+
800
+ AttributeQualifierContext* attributeQualifier();
801
+
802
+ class BagTypeContext : public antlr4::ParserRuleContext {
803
+ public:
804
+ BagTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
805
+ virtual size_t getRuleIndex() const override;
806
+ antlr4::tree::TerminalNode *BAG();
807
+ antlr4::tree::TerminalNode *OF();
808
+ InstantiableTypeContext *instantiableType();
809
+ BoundSpecContext *boundSpec();
810
+
811
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
812
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
813
+
814
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
815
+
816
+ };
817
+
818
+ BagTypeContext* bagType();
819
+
820
+ class BinaryTypeContext : public antlr4::ParserRuleContext {
821
+ public:
822
+ BinaryTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
823
+ virtual size_t getRuleIndex() const override;
824
+ antlr4::tree::TerminalNode *BINARY();
825
+ WidthSpecContext *widthSpec();
826
+
827
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
828
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
829
+
830
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
831
+
832
+ };
833
+
834
+ BinaryTypeContext* binaryType();
835
+
836
+ class BooleanTypeContext : public antlr4::ParserRuleContext {
837
+ public:
838
+ BooleanTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
839
+ virtual size_t getRuleIndex() const override;
840
+ antlr4::tree::TerminalNode *BOOLEAN();
841
+
842
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
843
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
844
+
845
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
846
+
847
+ };
848
+
849
+ BooleanTypeContext* booleanType();
850
+
851
+ class Bound1Context : public antlr4::ParserRuleContext {
852
+ public:
853
+ Bound1Context(antlr4::ParserRuleContext *parent, size_t invokingState);
854
+ virtual size_t getRuleIndex() const override;
855
+ NumericExpressionContext *numericExpression();
856
+
857
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
858
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
859
+
860
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
861
+
862
+ };
863
+
864
+ Bound1Context* bound1();
865
+
866
+ class Bound2Context : public antlr4::ParserRuleContext {
867
+ public:
868
+ Bound2Context(antlr4::ParserRuleContext *parent, size_t invokingState);
869
+ virtual size_t getRuleIndex() const override;
870
+ NumericExpressionContext *numericExpression();
871
+
872
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
873
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
874
+
875
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
876
+
877
+ };
878
+
879
+ Bound2Context* bound2();
880
+
881
+ class BoundSpecContext : public antlr4::ParserRuleContext {
882
+ public:
883
+ BoundSpecContext(antlr4::ParserRuleContext *parent, size_t invokingState);
884
+ virtual size_t getRuleIndex() const override;
885
+ Bound1Context *bound1();
886
+ Bound2Context *bound2();
887
+
888
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
889
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
890
+
891
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
892
+
893
+ };
894
+
895
+ BoundSpecContext* boundSpec();
896
+
897
+ class BuiltInConstantContext : public antlr4::ParserRuleContext {
898
+ public:
899
+ BuiltInConstantContext(antlr4::ParserRuleContext *parent, size_t invokingState);
900
+ virtual size_t getRuleIndex() const override;
901
+ antlr4::tree::TerminalNode *CONST_E();
902
+ antlr4::tree::TerminalNode *PI();
903
+ antlr4::tree::TerminalNode *SELF();
904
+
905
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
906
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
907
+
908
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
909
+
910
+ };
911
+
912
+ BuiltInConstantContext* builtInConstant();
913
+
914
+ class BuiltInFunctionContext : public antlr4::ParserRuleContext {
915
+ public:
916
+ BuiltInFunctionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
917
+ virtual size_t getRuleIndex() const override;
918
+ antlr4::tree::TerminalNode *ABS();
919
+ antlr4::tree::TerminalNode *ACOS();
920
+ antlr4::tree::TerminalNode *ASIN();
921
+ antlr4::tree::TerminalNode *ATAN();
922
+ antlr4::tree::TerminalNode *BLENGTH();
923
+ antlr4::tree::TerminalNode *COS();
924
+ antlr4::tree::TerminalNode *EXISTS();
925
+ antlr4::tree::TerminalNode *EXP();
926
+ antlr4::tree::TerminalNode *FORMAT();
927
+ antlr4::tree::TerminalNode *HIBOUND();
928
+ antlr4::tree::TerminalNode *HIINDEX();
929
+ antlr4::tree::TerminalNode *LENGTH();
930
+ antlr4::tree::TerminalNode *LOBOUND();
931
+ antlr4::tree::TerminalNode *LOINDEX();
932
+ antlr4::tree::TerminalNode *LOG();
933
+ antlr4::tree::TerminalNode *LOG2();
934
+ antlr4::tree::TerminalNode *LOG10();
935
+ antlr4::tree::TerminalNode *NVL();
936
+ antlr4::tree::TerminalNode *ODD();
937
+ antlr4::tree::TerminalNode *ROLESOF();
938
+ antlr4::tree::TerminalNode *SIN();
939
+ antlr4::tree::TerminalNode *SIZEOF();
940
+ antlr4::tree::TerminalNode *SQRT();
941
+ antlr4::tree::TerminalNode *TAN();
942
+ antlr4::tree::TerminalNode *TYPEOF();
943
+ antlr4::tree::TerminalNode *USEDIN();
944
+ antlr4::tree::TerminalNode *VALUE_();
945
+ antlr4::tree::TerminalNode *VALUE_IN();
946
+ antlr4::tree::TerminalNode *VALUE_UNIQUE();
947
+
948
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
949
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
950
+
951
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
952
+
953
+ };
954
+
955
+ BuiltInFunctionContext* builtInFunction();
956
+
957
+ class BuiltInProcedureContext : public antlr4::ParserRuleContext {
958
+ public:
959
+ BuiltInProcedureContext(antlr4::ParserRuleContext *parent, size_t invokingState);
960
+ virtual size_t getRuleIndex() const override;
961
+ antlr4::tree::TerminalNode *INSERT();
962
+ antlr4::tree::TerminalNode *REMOVE();
963
+
964
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
965
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
966
+
967
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
968
+
969
+ };
970
+
971
+ BuiltInProcedureContext* builtInProcedure();
972
+
973
+ class CaseActionContext : public antlr4::ParserRuleContext {
974
+ public:
975
+ CaseActionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
976
+ virtual size_t getRuleIndex() const override;
977
+ std::vector<CaseLabelContext *> caseLabel();
978
+ CaseLabelContext* caseLabel(size_t i);
979
+ StmtContext *stmt();
980
+
981
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
982
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
983
+
984
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
985
+
986
+ };
987
+
988
+ CaseActionContext* caseAction();
989
+
990
+ class CaseLabelContext : public antlr4::ParserRuleContext {
991
+ public:
992
+ CaseLabelContext(antlr4::ParserRuleContext *parent, size_t invokingState);
993
+ virtual size_t getRuleIndex() const override;
994
+ ExpressionContext *expression();
995
+
996
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
997
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
998
+
999
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1000
+
1001
+ };
1002
+
1003
+ CaseLabelContext* caseLabel();
1004
+
1005
+ class CaseStmtContext : public antlr4::ParserRuleContext {
1006
+ public:
1007
+ CaseStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1008
+ virtual size_t getRuleIndex() const override;
1009
+ antlr4::tree::TerminalNode *CASE();
1010
+ SelectorContext *selector();
1011
+ antlr4::tree::TerminalNode *OF();
1012
+ antlr4::tree::TerminalNode *END_CASE();
1013
+ std::vector<CaseActionContext *> caseAction();
1014
+ CaseActionContext* caseAction(size_t i);
1015
+ antlr4::tree::TerminalNode *OTHERWISE();
1016
+ StmtContext *stmt();
1017
+
1018
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1019
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1020
+
1021
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1022
+
1023
+ };
1024
+
1025
+ CaseStmtContext* caseStmt();
1026
+
1027
+ class CompoundStmtContext : public antlr4::ParserRuleContext {
1028
+ public:
1029
+ CompoundStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1030
+ virtual size_t getRuleIndex() const override;
1031
+ antlr4::tree::TerminalNode *BEGIN_();
1032
+ std::vector<StmtContext *> stmt();
1033
+ StmtContext* stmt(size_t i);
1034
+ antlr4::tree::TerminalNode *END_();
1035
+
1036
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1037
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1038
+
1039
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1040
+
1041
+ };
1042
+
1043
+ CompoundStmtContext* compoundStmt();
1044
+
1045
+ class ConcreteTypesContext : public antlr4::ParserRuleContext {
1046
+ public:
1047
+ ConcreteTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1048
+ virtual size_t getRuleIndex() const override;
1049
+ AggregationTypesContext *aggregationTypes();
1050
+ SimpleTypesContext *simpleTypes();
1051
+ TypeRefContext *typeRef();
1052
+
1053
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1054
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1055
+
1056
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1057
+
1058
+ };
1059
+
1060
+ ConcreteTypesContext* concreteTypes();
1061
+
1062
+ class ConstantBodyContext : public antlr4::ParserRuleContext {
1063
+ public:
1064
+ ConstantBodyContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1065
+ virtual size_t getRuleIndex() const override;
1066
+ ConstantIdContext *constantId();
1067
+ InstantiableTypeContext *instantiableType();
1068
+ ExpressionContext *expression();
1069
+
1070
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1071
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1072
+
1073
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1074
+
1075
+ };
1076
+
1077
+ ConstantBodyContext* constantBody();
1078
+
1079
+ class ConstantDeclContext : public antlr4::ParserRuleContext {
1080
+ public:
1081
+ ConstantDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1082
+ virtual size_t getRuleIndex() const override;
1083
+ antlr4::tree::TerminalNode *CONSTANT();
1084
+ std::vector<ConstantBodyContext *> constantBody();
1085
+ ConstantBodyContext* constantBody(size_t i);
1086
+ antlr4::tree::TerminalNode *END_CONSTANT();
1087
+
1088
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1089
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1090
+
1091
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1092
+
1093
+ };
1094
+
1095
+ ConstantDeclContext* constantDecl();
1096
+
1097
+ class ConstantFactorContext : public antlr4::ParserRuleContext {
1098
+ public:
1099
+ ConstantFactorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1100
+ virtual size_t getRuleIndex() const override;
1101
+ BuiltInConstantContext *builtInConstant();
1102
+ ConstantRefContext *constantRef();
1103
+
1104
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1105
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1106
+
1107
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1108
+
1109
+ };
1110
+
1111
+ ConstantFactorContext* constantFactor();
1112
+
1113
+ class ConstantIdContext : public antlr4::ParserRuleContext {
1114
+ public:
1115
+ ConstantIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1116
+ virtual size_t getRuleIndex() const override;
1117
+ antlr4::tree::TerminalNode *SimpleId();
1118
+
1119
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1120
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1121
+
1122
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1123
+
1124
+ };
1125
+
1126
+ ConstantIdContext* constantId();
1127
+
1128
+ class ConstructedTypesContext : public antlr4::ParserRuleContext {
1129
+ public:
1130
+ ConstructedTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1131
+ virtual size_t getRuleIndex() const override;
1132
+ EnumerationTypeContext *enumerationType();
1133
+ SelectTypeContext *selectType();
1134
+
1135
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1136
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1137
+
1138
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1139
+
1140
+ };
1141
+
1142
+ ConstructedTypesContext* constructedTypes();
1143
+
1144
+ class DeclarationContext : public antlr4::ParserRuleContext {
1145
+ public:
1146
+ DeclarationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1147
+ virtual size_t getRuleIndex() const override;
1148
+ EntityDeclContext *entityDecl();
1149
+ FunctionDeclContext *functionDecl();
1150
+ ProcedureDeclContext *procedureDecl();
1151
+ SubtypeConstraintDeclContext *subtypeConstraintDecl();
1152
+ TypeDeclContext *typeDecl();
1153
+
1154
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1155
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1156
+
1157
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1158
+
1159
+ };
1160
+
1161
+ DeclarationContext* declaration();
1162
+
1163
+ class DerivedAttrContext : public antlr4::ParserRuleContext {
1164
+ public:
1165
+ DerivedAttrContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1166
+ virtual size_t getRuleIndex() const override;
1167
+ AttributeDeclContext *attributeDecl();
1168
+ ParameterTypeContext *parameterType();
1169
+ ExpressionContext *expression();
1170
+
1171
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1172
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1173
+
1174
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1175
+
1176
+ };
1177
+
1178
+ DerivedAttrContext* derivedAttr();
1179
+
1180
+ class DeriveClauseContext : public antlr4::ParserRuleContext {
1181
+ public:
1182
+ DeriveClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1183
+ virtual size_t getRuleIndex() const override;
1184
+ antlr4::tree::TerminalNode *DERIVE();
1185
+ std::vector<DerivedAttrContext *> derivedAttr();
1186
+ DerivedAttrContext* derivedAttr(size_t i);
1187
+
1188
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1189
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1190
+
1191
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1192
+
1193
+ };
1194
+
1195
+ DeriveClauseContext* deriveClause();
1196
+
1197
+ class DomainRuleContext : public antlr4::ParserRuleContext {
1198
+ public:
1199
+ DomainRuleContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1200
+ virtual size_t getRuleIndex() const override;
1201
+ ExpressionContext *expression();
1202
+ RuleLabelIdContext *ruleLabelId();
1203
+
1204
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1205
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1206
+
1207
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1208
+
1209
+ };
1210
+
1211
+ DomainRuleContext* domainRule();
1212
+
1213
+ class ElementContext : public antlr4::ParserRuleContext {
1214
+ public:
1215
+ ElementContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1216
+ virtual size_t getRuleIndex() const override;
1217
+ ExpressionContext *expression();
1218
+ RepetitionContext *repetition();
1219
+
1220
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1221
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1222
+
1223
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1224
+
1225
+ };
1226
+
1227
+ ElementContext* element();
1228
+
1229
+ class EntityBodyContext : public antlr4::ParserRuleContext {
1230
+ public:
1231
+ EntityBodyContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1232
+ virtual size_t getRuleIndex() const override;
1233
+ std::vector<ExplicitAttrContext *> explicitAttr();
1234
+ ExplicitAttrContext* explicitAttr(size_t i);
1235
+ DeriveClauseContext *deriveClause();
1236
+ InverseClauseContext *inverseClause();
1237
+ UniqueClauseContext *uniqueClause();
1238
+ WhereClauseContext *whereClause();
1239
+
1240
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1241
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1242
+
1243
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1244
+
1245
+ };
1246
+
1247
+ EntityBodyContext* entityBody();
1248
+
1249
+ class EntityConstructorContext : public antlr4::ParserRuleContext {
1250
+ public:
1251
+ EntityConstructorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1252
+ virtual size_t getRuleIndex() const override;
1253
+ EntityRefContext *entityRef();
1254
+ std::vector<ExpressionContext *> expression();
1255
+ ExpressionContext* expression(size_t i);
1256
+
1257
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1258
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1259
+
1260
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1261
+
1262
+ };
1263
+
1264
+ EntityConstructorContext* entityConstructor();
1265
+
1266
+ class EntityDeclContext : public antlr4::ParserRuleContext {
1267
+ public:
1268
+ EntityDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1269
+ virtual size_t getRuleIndex() const override;
1270
+ EntityHeadContext *entityHead();
1271
+ EntityBodyContext *entityBody();
1272
+ antlr4::tree::TerminalNode *END_ENTITY();
1273
+
1274
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1275
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1276
+
1277
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1278
+
1279
+ };
1280
+
1281
+ EntityDeclContext* entityDecl();
1282
+
1283
+ class EntityHeadContext : public antlr4::ParserRuleContext {
1284
+ public:
1285
+ EntityHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1286
+ virtual size_t getRuleIndex() const override;
1287
+ antlr4::tree::TerminalNode *ENTITY();
1288
+ EntityIdContext *entityId();
1289
+ SubsuperContext *subsuper();
1290
+
1291
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1292
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1293
+
1294
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1295
+
1296
+ };
1297
+
1298
+ EntityHeadContext* entityHead();
1299
+
1300
+ class EntityIdContext : public antlr4::ParserRuleContext {
1301
+ public:
1302
+ EntityIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1303
+ virtual size_t getRuleIndex() const override;
1304
+ antlr4::tree::TerminalNode *SimpleId();
1305
+
1306
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1307
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1308
+
1309
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1310
+
1311
+ };
1312
+
1313
+ EntityIdContext* entityId();
1314
+
1315
+ class EnumerationExtensionContext : public antlr4::ParserRuleContext {
1316
+ public:
1317
+ EnumerationExtensionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1318
+ virtual size_t getRuleIndex() const override;
1319
+ antlr4::tree::TerminalNode *BASED_ON();
1320
+ TypeRefContext *typeRef();
1321
+ antlr4::tree::TerminalNode *WITH();
1322
+ EnumerationItemsContext *enumerationItems();
1323
+
1324
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1325
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1326
+
1327
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1328
+
1329
+ };
1330
+
1331
+ EnumerationExtensionContext* enumerationExtension();
1332
+
1333
+ class EnumerationIdContext : public antlr4::ParserRuleContext {
1334
+ public:
1335
+ EnumerationIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1336
+ virtual size_t getRuleIndex() const override;
1337
+ antlr4::tree::TerminalNode *SimpleId();
1338
+
1339
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1340
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1341
+
1342
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1343
+
1344
+ };
1345
+
1346
+ EnumerationIdContext* enumerationId();
1347
+
1348
+ class EnumerationItemsContext : public antlr4::ParserRuleContext {
1349
+ public:
1350
+ EnumerationItemsContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1351
+ virtual size_t getRuleIndex() const override;
1352
+ std::vector<EnumerationItemContext *> enumerationItem();
1353
+ EnumerationItemContext* enumerationItem(size_t i);
1354
+
1355
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1356
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1357
+
1358
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1359
+
1360
+ };
1361
+
1362
+ EnumerationItemsContext* enumerationItems();
1363
+
1364
+ class EnumerationItemContext : public antlr4::ParserRuleContext {
1365
+ public:
1366
+ EnumerationItemContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1367
+ virtual size_t getRuleIndex() const override;
1368
+ EnumerationIdContext *enumerationId();
1369
+
1370
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1371
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1372
+
1373
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1374
+
1375
+ };
1376
+
1377
+ EnumerationItemContext* enumerationItem();
1378
+
1379
+ class EnumerationReferenceContext : public antlr4::ParserRuleContext {
1380
+ public:
1381
+ EnumerationReferenceContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1382
+ virtual size_t getRuleIndex() const override;
1383
+ EnumerationRefContext *enumerationRef();
1384
+ TypeRefContext *typeRef();
1385
+
1386
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1387
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1388
+
1389
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1390
+
1391
+ };
1392
+
1393
+ EnumerationReferenceContext* enumerationReference();
1394
+
1395
+ class EnumerationTypeContext : public antlr4::ParserRuleContext {
1396
+ public:
1397
+ EnumerationTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1398
+ virtual size_t getRuleIndex() const override;
1399
+ antlr4::tree::TerminalNode *ENUMERATION();
1400
+ antlr4::tree::TerminalNode *EXTENSIBLE();
1401
+ antlr4::tree::TerminalNode *OF();
1402
+ EnumerationItemsContext *enumerationItems();
1403
+ EnumerationExtensionContext *enumerationExtension();
1404
+
1405
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1406
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1407
+
1408
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1409
+
1410
+ };
1411
+
1412
+ EnumerationTypeContext* enumerationType();
1413
+
1414
+ class EscapeStmtContext : public antlr4::ParserRuleContext {
1415
+ public:
1416
+ EscapeStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1417
+ virtual size_t getRuleIndex() const override;
1418
+ antlr4::tree::TerminalNode *ESCAPE();
1419
+
1420
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1421
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1422
+
1423
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1424
+
1425
+ };
1426
+
1427
+ EscapeStmtContext* escapeStmt();
1428
+
1429
+ class ExplicitAttrContext : public antlr4::ParserRuleContext {
1430
+ public:
1431
+ ExplicitAttrContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1432
+ virtual size_t getRuleIndex() const override;
1433
+ std::vector<AttributeDeclContext *> attributeDecl();
1434
+ AttributeDeclContext* attributeDecl(size_t i);
1435
+ ParameterTypeContext *parameterType();
1436
+ antlr4::tree::TerminalNode *OPTIONAL();
1437
+
1438
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1439
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1440
+
1441
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1442
+
1443
+ };
1444
+
1445
+ ExplicitAttrContext* explicitAttr();
1446
+
1447
+ class ExpressionContext : public antlr4::ParserRuleContext {
1448
+ public:
1449
+ ExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1450
+ virtual size_t getRuleIndex() const override;
1451
+ std::vector<SimpleExpressionContext *> simpleExpression();
1452
+ SimpleExpressionContext* simpleExpression(size_t i);
1453
+ RelOpExtendedContext *relOpExtended();
1454
+
1455
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1456
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1457
+
1458
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1459
+
1460
+ };
1461
+
1462
+ ExpressionContext* expression();
1463
+
1464
+ class FactorContext : public antlr4::ParserRuleContext {
1465
+ public:
1466
+ FactorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1467
+ virtual size_t getRuleIndex() const override;
1468
+ std::vector<SimpleFactorContext *> simpleFactor();
1469
+ SimpleFactorContext* simpleFactor(size_t i);
1470
+
1471
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1472
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1473
+
1474
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1475
+
1476
+ };
1477
+
1478
+ FactorContext* factor();
1479
+
1480
+ class FormalParameterContext : public antlr4::ParserRuleContext {
1481
+ public:
1482
+ FormalParameterContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1483
+ virtual size_t getRuleIndex() const override;
1484
+ std::vector<ParameterIdContext *> parameterId();
1485
+ ParameterIdContext* parameterId(size_t i);
1486
+ ParameterTypeContext *parameterType();
1487
+
1488
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1489
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1490
+
1491
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1492
+
1493
+ };
1494
+
1495
+ FormalParameterContext* formalParameter();
1496
+
1497
+ class FunctionCallContext : public antlr4::ParserRuleContext {
1498
+ public:
1499
+ FunctionCallContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1500
+ virtual size_t getRuleIndex() const override;
1501
+ BuiltInFunctionContext *builtInFunction();
1502
+ FunctionRefContext *functionRef();
1503
+ ActualParameterListContext *actualParameterList();
1504
+
1505
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1506
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1507
+
1508
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1509
+
1510
+ };
1511
+
1512
+ FunctionCallContext* functionCall();
1513
+
1514
+ class FunctionDeclContext : public antlr4::ParserRuleContext {
1515
+ public:
1516
+ FunctionDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1517
+ virtual size_t getRuleIndex() const override;
1518
+ FunctionHeadContext *functionHead();
1519
+ AlgorithmHeadContext *algorithmHead();
1520
+ std::vector<StmtContext *> stmt();
1521
+ StmtContext* stmt(size_t i);
1522
+ antlr4::tree::TerminalNode *END_FUNCTION();
1523
+
1524
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1525
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1526
+
1527
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1528
+
1529
+ };
1530
+
1531
+ FunctionDeclContext* functionDecl();
1532
+
1533
+ class FunctionHeadContext : public antlr4::ParserRuleContext {
1534
+ public:
1535
+ FunctionHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1536
+ virtual size_t getRuleIndex() const override;
1537
+ antlr4::tree::TerminalNode *FUNCTION();
1538
+ FunctionIdContext *functionId();
1539
+ ParameterTypeContext *parameterType();
1540
+ std::vector<FormalParameterContext *> formalParameter();
1541
+ FormalParameterContext* formalParameter(size_t i);
1542
+
1543
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1544
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1545
+
1546
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1547
+
1548
+ };
1549
+
1550
+ FunctionHeadContext* functionHead();
1551
+
1552
+ class FunctionIdContext : public antlr4::ParserRuleContext {
1553
+ public:
1554
+ FunctionIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1555
+ virtual size_t getRuleIndex() const override;
1556
+ antlr4::tree::TerminalNode *SimpleId();
1557
+
1558
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1559
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1560
+
1561
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1562
+
1563
+ };
1564
+
1565
+ FunctionIdContext* functionId();
1566
+
1567
+ class GeneralizedTypesContext : public antlr4::ParserRuleContext {
1568
+ public:
1569
+ GeneralizedTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1570
+ virtual size_t getRuleIndex() const override;
1571
+ AggregateTypeContext *aggregateType();
1572
+ GeneralAggregationTypesContext *generalAggregationTypes();
1573
+ GenericEntityTypeContext *genericEntityType();
1574
+ GenericTypeContext *genericType();
1575
+
1576
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1577
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1578
+
1579
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1580
+
1581
+ };
1582
+
1583
+ GeneralizedTypesContext* generalizedTypes();
1584
+
1585
+ class GeneralAggregationTypesContext : public antlr4::ParserRuleContext {
1586
+ public:
1587
+ GeneralAggregationTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1588
+ virtual size_t getRuleIndex() const override;
1589
+ GeneralArrayTypeContext *generalArrayType();
1590
+ GeneralBagTypeContext *generalBagType();
1591
+ GeneralListTypeContext *generalListType();
1592
+ GeneralSetTypeContext *generalSetType();
1593
+
1594
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1595
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1596
+
1597
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1598
+
1599
+ };
1600
+
1601
+ GeneralAggregationTypesContext* generalAggregationTypes();
1602
+
1603
+ class GeneralArrayTypeContext : public antlr4::ParserRuleContext {
1604
+ public:
1605
+ GeneralArrayTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1606
+ virtual size_t getRuleIndex() const override;
1607
+ antlr4::tree::TerminalNode *ARRAY();
1608
+ antlr4::tree::TerminalNode *OF();
1609
+ ParameterTypeContext *parameterType();
1610
+ BoundSpecContext *boundSpec();
1611
+ antlr4::tree::TerminalNode *OPTIONAL();
1612
+ antlr4::tree::TerminalNode *UNIQUE();
1613
+
1614
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1615
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1616
+
1617
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1618
+
1619
+ };
1620
+
1621
+ GeneralArrayTypeContext* generalArrayType();
1622
+
1623
+ class GeneralBagTypeContext : public antlr4::ParserRuleContext {
1624
+ public:
1625
+ GeneralBagTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1626
+ virtual size_t getRuleIndex() const override;
1627
+ antlr4::tree::TerminalNode *BAG();
1628
+ antlr4::tree::TerminalNode *OF();
1629
+ ParameterTypeContext *parameterType();
1630
+ BoundSpecContext *boundSpec();
1631
+
1632
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1633
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1634
+
1635
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1636
+
1637
+ };
1638
+
1639
+ GeneralBagTypeContext* generalBagType();
1640
+
1641
+ class GeneralListTypeContext : public antlr4::ParserRuleContext {
1642
+ public:
1643
+ GeneralListTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1644
+ virtual size_t getRuleIndex() const override;
1645
+ antlr4::tree::TerminalNode *LIST();
1646
+ antlr4::tree::TerminalNode *OF();
1647
+ ParameterTypeContext *parameterType();
1648
+ BoundSpecContext *boundSpec();
1649
+ antlr4::tree::TerminalNode *UNIQUE();
1650
+
1651
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1652
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1653
+
1654
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1655
+
1656
+ };
1657
+
1658
+ GeneralListTypeContext* generalListType();
1659
+
1660
+ class GeneralRefContext : public antlr4::ParserRuleContext {
1661
+ public:
1662
+ GeneralRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1663
+ virtual size_t getRuleIndex() const override;
1664
+ ParameterRefContext *parameterRef();
1665
+ VariableIdContext *variableId();
1666
+
1667
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1668
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1669
+
1670
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1671
+
1672
+ };
1673
+
1674
+ GeneralRefContext* generalRef();
1675
+
1676
+ class GeneralSetTypeContext : public antlr4::ParserRuleContext {
1677
+ public:
1678
+ GeneralSetTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1679
+ virtual size_t getRuleIndex() const override;
1680
+ antlr4::tree::TerminalNode *SET();
1681
+ antlr4::tree::TerminalNode *OF();
1682
+ ParameterTypeContext *parameterType();
1683
+ BoundSpecContext *boundSpec();
1684
+
1685
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1686
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1687
+
1688
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1689
+
1690
+ };
1691
+
1692
+ GeneralSetTypeContext* generalSetType();
1693
+
1694
+ class GenericEntityTypeContext : public antlr4::ParserRuleContext {
1695
+ public:
1696
+ GenericEntityTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1697
+ virtual size_t getRuleIndex() const override;
1698
+ antlr4::tree::TerminalNode *GENERIC_ENTITY();
1699
+ TypeLabelContext *typeLabel();
1700
+
1701
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1702
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1703
+
1704
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1705
+
1706
+ };
1707
+
1708
+ GenericEntityTypeContext* genericEntityType();
1709
+
1710
+ class GenericTypeContext : public antlr4::ParserRuleContext {
1711
+ public:
1712
+ GenericTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1713
+ virtual size_t getRuleIndex() const override;
1714
+ antlr4::tree::TerminalNode *GENERIC();
1715
+ TypeLabelContext *typeLabel();
1716
+
1717
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1718
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1719
+
1720
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1721
+
1722
+ };
1723
+
1724
+ GenericTypeContext* genericType();
1725
+
1726
+ class GroupQualifierContext : public antlr4::ParserRuleContext {
1727
+ public:
1728
+ GroupQualifierContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1729
+ virtual size_t getRuleIndex() const override;
1730
+ EntityRefContext *entityRef();
1731
+
1732
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1733
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1734
+
1735
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1736
+
1737
+ };
1738
+
1739
+ GroupQualifierContext* groupQualifier();
1740
+
1741
+ class IfStmtContext : public antlr4::ParserRuleContext {
1742
+ public:
1743
+ IfStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1744
+ virtual size_t getRuleIndex() const override;
1745
+ antlr4::tree::TerminalNode *IF();
1746
+ LogicalExpressionContext *logicalExpression();
1747
+ antlr4::tree::TerminalNode *THEN();
1748
+ IfStmtStatementsContext *ifStmtStatements();
1749
+ antlr4::tree::TerminalNode *END_IF();
1750
+ antlr4::tree::TerminalNode *ELSE();
1751
+ IfStmtElseStatementsContext *ifStmtElseStatements();
1752
+
1753
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1754
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1755
+
1756
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1757
+
1758
+ };
1759
+
1760
+ IfStmtContext* ifStmt();
1761
+
1762
+ class IfStmtStatementsContext : public antlr4::ParserRuleContext {
1763
+ public:
1764
+ IfStmtStatementsContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1765
+ virtual size_t getRuleIndex() const override;
1766
+ std::vector<StmtContext *> stmt();
1767
+ StmtContext* stmt(size_t i);
1768
+
1769
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1770
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1771
+
1772
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1773
+
1774
+ };
1775
+
1776
+ IfStmtStatementsContext* ifStmtStatements();
1777
+
1778
+ class IfStmtElseStatementsContext : public antlr4::ParserRuleContext {
1779
+ public:
1780
+ IfStmtElseStatementsContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1781
+ virtual size_t getRuleIndex() const override;
1782
+ std::vector<StmtContext *> stmt();
1783
+ StmtContext* stmt(size_t i);
1784
+
1785
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1786
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1787
+
1788
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1789
+
1790
+ };
1791
+
1792
+ IfStmtElseStatementsContext* ifStmtElseStatements();
1793
+
1794
+ class IncrementContext : public antlr4::ParserRuleContext {
1795
+ public:
1796
+ IncrementContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1797
+ virtual size_t getRuleIndex() const override;
1798
+ NumericExpressionContext *numericExpression();
1799
+
1800
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1801
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1802
+
1803
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1804
+
1805
+ };
1806
+
1807
+ IncrementContext* increment();
1808
+
1809
+ class IncrementControlContext : public antlr4::ParserRuleContext {
1810
+ public:
1811
+ IncrementControlContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1812
+ virtual size_t getRuleIndex() const override;
1813
+ VariableIdContext *variableId();
1814
+ Bound1Context *bound1();
1815
+ antlr4::tree::TerminalNode *TO();
1816
+ Bound2Context *bound2();
1817
+ antlr4::tree::TerminalNode *BY();
1818
+ IncrementContext *increment();
1819
+
1820
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1821
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1822
+
1823
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1824
+
1825
+ };
1826
+
1827
+ IncrementControlContext* incrementControl();
1828
+
1829
+ class IndexContext : public antlr4::ParserRuleContext {
1830
+ public:
1831
+ IndexContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1832
+ virtual size_t getRuleIndex() const override;
1833
+ NumericExpressionContext *numericExpression();
1834
+
1835
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1836
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1837
+
1838
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1839
+
1840
+ };
1841
+
1842
+ IndexContext* index();
1843
+
1844
+ class Index1Context : public antlr4::ParserRuleContext {
1845
+ public:
1846
+ Index1Context(antlr4::ParserRuleContext *parent, size_t invokingState);
1847
+ virtual size_t getRuleIndex() const override;
1848
+ IndexContext *index();
1849
+
1850
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1851
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1852
+
1853
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1854
+
1855
+ };
1856
+
1857
+ Index1Context* index1();
1858
+
1859
+ class Index2Context : public antlr4::ParserRuleContext {
1860
+ public:
1861
+ Index2Context(antlr4::ParserRuleContext *parent, size_t invokingState);
1862
+ virtual size_t getRuleIndex() const override;
1863
+ IndexContext *index();
1864
+
1865
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1866
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1867
+
1868
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1869
+
1870
+ };
1871
+
1872
+ Index2Context* index2();
1873
+
1874
+ class IndexQualifierContext : public antlr4::ParserRuleContext {
1875
+ public:
1876
+ IndexQualifierContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1877
+ virtual size_t getRuleIndex() const override;
1878
+ Index1Context *index1();
1879
+ Index2Context *index2();
1880
+
1881
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1882
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1883
+
1884
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1885
+
1886
+ };
1887
+
1888
+ IndexQualifierContext* indexQualifier();
1889
+
1890
+ class InstantiableTypeContext : public antlr4::ParserRuleContext {
1891
+ public:
1892
+ InstantiableTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1893
+ virtual size_t getRuleIndex() const override;
1894
+ ConcreteTypesContext *concreteTypes();
1895
+ EntityRefContext *entityRef();
1896
+
1897
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1898
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1899
+
1900
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1901
+
1902
+ };
1903
+
1904
+ InstantiableTypeContext* instantiableType();
1905
+
1906
+ class IntegerTypeContext : public antlr4::ParserRuleContext {
1907
+ public:
1908
+ IntegerTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1909
+ virtual size_t getRuleIndex() const override;
1910
+ antlr4::tree::TerminalNode *INTEGER();
1911
+
1912
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1913
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1914
+
1915
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1916
+
1917
+ };
1918
+
1919
+ IntegerTypeContext* integerType();
1920
+
1921
+ class InterfaceSpecificationContext : public antlr4::ParserRuleContext {
1922
+ public:
1923
+ InterfaceSpecificationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1924
+ virtual size_t getRuleIndex() const override;
1925
+ ReferenceClauseContext *referenceClause();
1926
+ UseClauseContext *useClause();
1927
+
1928
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1929
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1930
+
1931
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1932
+
1933
+ };
1934
+
1935
+ InterfaceSpecificationContext* interfaceSpecification();
1936
+
1937
+ class IntervalContext : public antlr4::ParserRuleContext {
1938
+ public:
1939
+ IntervalContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1940
+ virtual size_t getRuleIndex() const override;
1941
+ IntervalLowContext *intervalLow();
1942
+ std::vector<IntervalOpContext *> intervalOp();
1943
+ IntervalOpContext* intervalOp(size_t i);
1944
+ IntervalItemContext *intervalItem();
1945
+ IntervalHighContext *intervalHigh();
1946
+
1947
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1948
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1949
+
1950
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1951
+
1952
+ };
1953
+
1954
+ IntervalContext* interval();
1955
+
1956
+ class IntervalHighContext : public antlr4::ParserRuleContext {
1957
+ public:
1958
+ IntervalHighContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1959
+ virtual size_t getRuleIndex() const override;
1960
+ SimpleExpressionContext *simpleExpression();
1961
+
1962
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1963
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1964
+
1965
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1966
+
1967
+ };
1968
+
1969
+ IntervalHighContext* intervalHigh();
1970
+
1971
+ class IntervalItemContext : public antlr4::ParserRuleContext {
1972
+ public:
1973
+ IntervalItemContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1974
+ virtual size_t getRuleIndex() const override;
1975
+ SimpleExpressionContext *simpleExpression();
1976
+
1977
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1978
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1979
+
1980
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1981
+
1982
+ };
1983
+
1984
+ IntervalItemContext* intervalItem();
1985
+
1986
+ class IntervalLowContext : public antlr4::ParserRuleContext {
1987
+ public:
1988
+ IntervalLowContext(antlr4::ParserRuleContext *parent, size_t invokingState);
1989
+ virtual size_t getRuleIndex() const override;
1990
+ SimpleExpressionContext *simpleExpression();
1991
+
1992
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
1993
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
1994
+
1995
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
1996
+
1997
+ };
1998
+
1999
+ IntervalLowContext* intervalLow();
2000
+
2001
+ class IntervalOpContext : public antlr4::ParserRuleContext {
2002
+ public:
2003
+ IntervalOpContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2004
+ virtual size_t getRuleIndex() const override;
2005
+
2006
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2007
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2008
+
2009
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2010
+
2011
+ };
2012
+
2013
+ IntervalOpContext* intervalOp();
2014
+
2015
+ class InverseAttrContext : public antlr4::ParserRuleContext {
2016
+ public:
2017
+ InverseAttrContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2018
+ virtual size_t getRuleIndex() const override;
2019
+ AttributeDeclContext *attributeDecl();
2020
+ InverseAttrTypeContext *inverseAttrType();
2021
+ antlr4::tree::TerminalNode *FOR();
2022
+ AttributeRefContext *attributeRef();
2023
+ EntityRefContext *entityRef();
2024
+
2025
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2026
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2027
+
2028
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2029
+
2030
+ };
2031
+
2032
+ InverseAttrContext* inverseAttr();
2033
+
2034
+ class InverseAttrTypeContext : public antlr4::ParserRuleContext {
2035
+ public:
2036
+ InverseAttrTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2037
+ virtual size_t getRuleIndex() const override;
2038
+ EntityRefContext *entityRef();
2039
+ antlr4::tree::TerminalNode *OF();
2040
+ antlr4::tree::TerminalNode *SET();
2041
+ antlr4::tree::TerminalNode *BAG();
2042
+ BoundSpecContext *boundSpec();
2043
+
2044
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2045
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2046
+
2047
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2048
+
2049
+ };
2050
+
2051
+ InverseAttrTypeContext* inverseAttrType();
2052
+
2053
+ class InverseClauseContext : public antlr4::ParserRuleContext {
2054
+ public:
2055
+ InverseClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2056
+ virtual size_t getRuleIndex() const override;
2057
+ antlr4::tree::TerminalNode *INVERSE();
2058
+ std::vector<InverseAttrContext *> inverseAttr();
2059
+ InverseAttrContext* inverseAttr(size_t i);
2060
+
2061
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2062
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2063
+
2064
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2065
+
2066
+ };
2067
+
2068
+ InverseClauseContext* inverseClause();
2069
+
2070
+ class ListTypeContext : public antlr4::ParserRuleContext {
2071
+ public:
2072
+ ListTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2073
+ virtual size_t getRuleIndex() const override;
2074
+ antlr4::tree::TerminalNode *LIST();
2075
+ antlr4::tree::TerminalNode *OF();
2076
+ InstantiableTypeContext *instantiableType();
2077
+ BoundSpecContext *boundSpec();
2078
+ antlr4::tree::TerminalNode *UNIQUE();
2079
+
2080
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2081
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2082
+
2083
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2084
+
2085
+ };
2086
+
2087
+ ListTypeContext* listType();
2088
+
2089
+ class LiteralContext : public antlr4::ParserRuleContext {
2090
+ public:
2091
+ LiteralContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2092
+ virtual size_t getRuleIndex() const override;
2093
+ antlr4::tree::TerminalNode *BinaryLiteral();
2094
+ antlr4::tree::TerminalNode *IntegerLiteral();
2095
+ LogicalLiteralContext *logicalLiteral();
2096
+ antlr4::tree::TerminalNode *RealLiteral();
2097
+ StringLiteralContext *stringLiteral();
2098
+
2099
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2100
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2101
+
2102
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2103
+
2104
+ };
2105
+
2106
+ LiteralContext* literal();
2107
+
2108
+ class LocalDeclContext : public antlr4::ParserRuleContext {
2109
+ public:
2110
+ LocalDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2111
+ virtual size_t getRuleIndex() const override;
2112
+ antlr4::tree::TerminalNode *LOCAL();
2113
+ std::vector<LocalVariableContext *> localVariable();
2114
+ LocalVariableContext* localVariable(size_t i);
2115
+ antlr4::tree::TerminalNode *END_LOCAL();
2116
+
2117
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2118
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2119
+
2120
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2121
+
2122
+ };
2123
+
2124
+ LocalDeclContext* localDecl();
2125
+
2126
+ class LocalVariableContext : public antlr4::ParserRuleContext {
2127
+ public:
2128
+ LocalVariableContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2129
+ virtual size_t getRuleIndex() const override;
2130
+ std::vector<VariableIdContext *> variableId();
2131
+ VariableIdContext* variableId(size_t i);
2132
+ ParameterTypeContext *parameterType();
2133
+ ExpressionContext *expression();
2134
+
2135
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2136
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2137
+
2138
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2139
+
2140
+ };
2141
+
2142
+ LocalVariableContext* localVariable();
2143
+
2144
+ class LogicalExpressionContext : public antlr4::ParserRuleContext {
2145
+ public:
2146
+ LogicalExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2147
+ virtual size_t getRuleIndex() const override;
2148
+ ExpressionContext *expression();
2149
+
2150
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2151
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2152
+
2153
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2154
+
2155
+ };
2156
+
2157
+ LogicalExpressionContext* logicalExpression();
2158
+
2159
+ class LogicalLiteralContext : public antlr4::ParserRuleContext {
2160
+ public:
2161
+ LogicalLiteralContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2162
+ virtual size_t getRuleIndex() const override;
2163
+ antlr4::tree::TerminalNode *FALSE();
2164
+ antlr4::tree::TerminalNode *TRUE();
2165
+ antlr4::tree::TerminalNode *UNKNOWN();
2166
+
2167
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2168
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2169
+
2170
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2171
+
2172
+ };
2173
+
2174
+ LogicalLiteralContext* logicalLiteral();
2175
+
2176
+ class LogicalTypeContext : public antlr4::ParserRuleContext {
2177
+ public:
2178
+ LogicalTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2179
+ virtual size_t getRuleIndex() const override;
2180
+ antlr4::tree::TerminalNode *LOGICAL();
2181
+
2182
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2183
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2184
+
2185
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2186
+
2187
+ };
2188
+
2189
+ LogicalTypeContext* logicalType();
2190
+
2191
+ class MultiplicationLikeOpContext : public antlr4::ParserRuleContext {
2192
+ public:
2193
+ MultiplicationLikeOpContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2194
+ virtual size_t getRuleIndex() const override;
2195
+ antlr4::tree::TerminalNode *DIV();
2196
+ antlr4::tree::TerminalNode *MOD();
2197
+ antlr4::tree::TerminalNode *AND();
2198
+
2199
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2200
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2201
+
2202
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2203
+
2204
+ };
2205
+
2206
+ MultiplicationLikeOpContext* multiplicationLikeOp();
2207
+
2208
+ class NamedTypesContext : public antlr4::ParserRuleContext {
2209
+ public:
2210
+ NamedTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2211
+ virtual size_t getRuleIndex() const override;
2212
+ EntityRefContext *entityRef();
2213
+ TypeRefContext *typeRef();
2214
+
2215
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2216
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2217
+
2218
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2219
+
2220
+ };
2221
+
2222
+ NamedTypesContext* namedTypes();
2223
+
2224
+ class NamedTypeOrRenameContext : public antlr4::ParserRuleContext {
2225
+ public:
2226
+ NamedTypeOrRenameContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2227
+ virtual size_t getRuleIndex() const override;
2228
+ NamedTypesContext *namedTypes();
2229
+ antlr4::tree::TerminalNode *AS();
2230
+ EntityIdContext *entityId();
2231
+ TypeIdContext *typeId();
2232
+
2233
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2234
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2235
+
2236
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2237
+
2238
+ };
2239
+
2240
+ NamedTypeOrRenameContext* namedTypeOrRename();
2241
+
2242
+ class NullStmtContext : public antlr4::ParserRuleContext {
2243
+ public:
2244
+ NullStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2245
+ virtual size_t getRuleIndex() const override;
2246
+
2247
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2248
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2249
+
2250
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2251
+
2252
+ };
2253
+
2254
+ NullStmtContext* nullStmt();
2255
+
2256
+ class NumberTypeContext : public antlr4::ParserRuleContext {
2257
+ public:
2258
+ NumberTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2259
+ virtual size_t getRuleIndex() const override;
2260
+ antlr4::tree::TerminalNode *NUMBER();
2261
+
2262
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2263
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2264
+
2265
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2266
+
2267
+ };
2268
+
2269
+ NumberTypeContext* numberType();
2270
+
2271
+ class NumericExpressionContext : public antlr4::ParserRuleContext {
2272
+ public:
2273
+ NumericExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2274
+ virtual size_t getRuleIndex() const override;
2275
+ SimpleExpressionContext *simpleExpression();
2276
+
2277
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2278
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2279
+
2280
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2281
+
2282
+ };
2283
+
2284
+ NumericExpressionContext* numericExpression();
2285
+
2286
+ class OneOfContext : public antlr4::ParserRuleContext {
2287
+ public:
2288
+ OneOfContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2289
+ virtual size_t getRuleIndex() const override;
2290
+ antlr4::tree::TerminalNode *ONEOF();
2291
+ std::vector<SupertypeExpressionContext *> supertypeExpression();
2292
+ SupertypeExpressionContext* supertypeExpression(size_t i);
2293
+
2294
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2295
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2296
+
2297
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2298
+
2299
+ };
2300
+
2301
+ OneOfContext* oneOf();
2302
+
2303
+ class ParameterContext : public antlr4::ParserRuleContext {
2304
+ public:
2305
+ ParameterContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2306
+ virtual size_t getRuleIndex() const override;
2307
+ ExpressionContext *expression();
2308
+
2309
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2310
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2311
+
2312
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2313
+
2314
+ };
2315
+
2316
+ ParameterContext* parameter();
2317
+
2318
+ class ParameterIdContext : public antlr4::ParserRuleContext {
2319
+ public:
2320
+ ParameterIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2321
+ virtual size_t getRuleIndex() const override;
2322
+ antlr4::tree::TerminalNode *SimpleId();
2323
+
2324
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2325
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2326
+
2327
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2328
+
2329
+ };
2330
+
2331
+ ParameterIdContext* parameterId();
2332
+
2333
+ class ParameterTypeContext : public antlr4::ParserRuleContext {
2334
+ public:
2335
+ ParameterTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2336
+ virtual size_t getRuleIndex() const override;
2337
+ GeneralizedTypesContext *generalizedTypes();
2338
+ NamedTypesContext *namedTypes();
2339
+ SimpleTypesContext *simpleTypes();
2340
+
2341
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2342
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2343
+
2344
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2345
+
2346
+ };
2347
+
2348
+ ParameterTypeContext* parameterType();
2349
+
2350
+ class PopulationContext : public antlr4::ParserRuleContext {
2351
+ public:
2352
+ PopulationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2353
+ virtual size_t getRuleIndex() const override;
2354
+ EntityRefContext *entityRef();
2355
+
2356
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2357
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2358
+
2359
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2360
+
2361
+ };
2362
+
2363
+ PopulationContext* population();
2364
+
2365
+ class PrecisionSpecContext : public antlr4::ParserRuleContext {
2366
+ public:
2367
+ PrecisionSpecContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2368
+ virtual size_t getRuleIndex() const override;
2369
+ NumericExpressionContext *numericExpression();
2370
+
2371
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2372
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2373
+
2374
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2375
+
2376
+ };
2377
+
2378
+ PrecisionSpecContext* precisionSpec();
2379
+
2380
+ class PrimaryContext : public antlr4::ParserRuleContext {
2381
+ public:
2382
+ PrimaryContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2383
+ virtual size_t getRuleIndex() const override;
2384
+ LiteralContext *literal();
2385
+ QualifiableFactorContext *qualifiableFactor();
2386
+ std::vector<QualifierContext *> qualifier();
2387
+ QualifierContext* qualifier(size_t i);
2388
+
2389
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2390
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2391
+
2392
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2393
+
2394
+ };
2395
+
2396
+ PrimaryContext* primary();
2397
+
2398
+ class ProcedureCallStmtContext : public antlr4::ParserRuleContext {
2399
+ public:
2400
+ ProcedureCallStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2401
+ virtual size_t getRuleIndex() const override;
2402
+ BuiltInProcedureContext *builtInProcedure();
2403
+ ProcedureRefContext *procedureRef();
2404
+ ActualParameterListContext *actualParameterList();
2405
+
2406
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2407
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2408
+
2409
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2410
+
2411
+ };
2412
+
2413
+ ProcedureCallStmtContext* procedureCallStmt();
2414
+
2415
+ class ProcedureDeclContext : public antlr4::ParserRuleContext {
2416
+ public:
2417
+ ProcedureDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2418
+ virtual size_t getRuleIndex() const override;
2419
+ ProcedureHeadContext *procedureHead();
2420
+ AlgorithmHeadContext *algorithmHead();
2421
+ antlr4::tree::TerminalNode *END_PROCEDURE();
2422
+ std::vector<StmtContext *> stmt();
2423
+ StmtContext* stmt(size_t i);
2424
+
2425
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2426
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2427
+
2428
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2429
+
2430
+ };
2431
+
2432
+ ProcedureDeclContext* procedureDecl();
2433
+
2434
+ class ProcedureHeadContext : public antlr4::ParserRuleContext {
2435
+ public:
2436
+ ProcedureHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2437
+ virtual size_t getRuleIndex() const override;
2438
+ antlr4::tree::TerminalNode *PROCEDURE();
2439
+ ProcedureIdContext *procedureId();
2440
+ std::vector<ProcedureHeadParameterContext *> procedureHeadParameter();
2441
+ ProcedureHeadParameterContext* procedureHeadParameter(size_t i);
2442
+
2443
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2444
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2445
+
2446
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2447
+
2448
+ };
2449
+
2450
+ ProcedureHeadContext* procedureHead();
2451
+
2452
+ class ProcedureHeadParameterContext : public antlr4::ParserRuleContext {
2453
+ public:
2454
+ ProcedureHeadParameterContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2455
+ virtual size_t getRuleIndex() const override;
2456
+ FormalParameterContext *formalParameter();
2457
+ antlr4::tree::TerminalNode *VAR();
2458
+
2459
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2460
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2461
+
2462
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2463
+
2464
+ };
2465
+
2466
+ ProcedureHeadParameterContext* procedureHeadParameter();
2467
+
2468
+ class ProcedureIdContext : public antlr4::ParserRuleContext {
2469
+ public:
2470
+ ProcedureIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2471
+ virtual size_t getRuleIndex() const override;
2472
+ antlr4::tree::TerminalNode *SimpleId();
2473
+
2474
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2475
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2476
+
2477
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2478
+
2479
+ };
2480
+
2481
+ ProcedureIdContext* procedureId();
2482
+
2483
+ class QualifiableFactorContext : public antlr4::ParserRuleContext {
2484
+ public:
2485
+ QualifiableFactorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2486
+ virtual size_t getRuleIndex() const override;
2487
+ AttributeRefContext *attributeRef();
2488
+ ConstantFactorContext *constantFactor();
2489
+ FunctionCallContext *functionCall();
2490
+ GeneralRefContext *generalRef();
2491
+ PopulationContext *population();
2492
+
2493
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2494
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2495
+
2496
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2497
+
2498
+ };
2499
+
2500
+ QualifiableFactorContext* qualifiableFactor();
2501
+
2502
+ class QualifiedAttributeContext : public antlr4::ParserRuleContext {
2503
+ public:
2504
+ QualifiedAttributeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2505
+ virtual size_t getRuleIndex() const override;
2506
+ antlr4::tree::TerminalNode *SELF();
2507
+ GroupQualifierContext *groupQualifier();
2508
+ AttributeQualifierContext *attributeQualifier();
2509
+
2510
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2511
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2512
+
2513
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2514
+
2515
+ };
2516
+
2517
+ QualifiedAttributeContext* qualifiedAttribute();
2518
+
2519
+ class QualifierContext : public antlr4::ParserRuleContext {
2520
+ public:
2521
+ QualifierContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2522
+ virtual size_t getRuleIndex() const override;
2523
+ AttributeQualifierContext *attributeQualifier();
2524
+ GroupQualifierContext *groupQualifier();
2525
+ IndexQualifierContext *indexQualifier();
2526
+
2527
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2528
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2529
+
2530
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2531
+
2532
+ };
2533
+
2534
+ QualifierContext* qualifier();
2535
+
2536
+ class QueryExpressionContext : public antlr4::ParserRuleContext {
2537
+ public:
2538
+ QueryExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2539
+ virtual size_t getRuleIndex() const override;
2540
+ antlr4::tree::TerminalNode *QUERY();
2541
+ VariableIdContext *variableId();
2542
+ AggregateSourceContext *aggregateSource();
2543
+ LogicalExpressionContext *logicalExpression();
2544
+
2545
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2546
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2547
+
2548
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2549
+
2550
+ };
2551
+
2552
+ QueryExpressionContext* queryExpression();
2553
+
2554
+ class RealTypeContext : public antlr4::ParserRuleContext {
2555
+ public:
2556
+ RealTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2557
+ virtual size_t getRuleIndex() const override;
2558
+ antlr4::tree::TerminalNode *REAL();
2559
+ PrecisionSpecContext *precisionSpec();
2560
+
2561
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2562
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2563
+
2564
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2565
+
2566
+ };
2567
+
2568
+ RealTypeContext* realType();
2569
+
2570
+ class RedeclaredAttributeContext : public antlr4::ParserRuleContext {
2571
+ public:
2572
+ RedeclaredAttributeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2573
+ virtual size_t getRuleIndex() const override;
2574
+ QualifiedAttributeContext *qualifiedAttribute();
2575
+ antlr4::tree::TerminalNode *RENAMED();
2576
+ AttributeIdContext *attributeId();
2577
+
2578
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2579
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2580
+
2581
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2582
+
2583
+ };
2584
+
2585
+ RedeclaredAttributeContext* redeclaredAttribute();
2586
+
2587
+ class ReferencedAttributeContext : public antlr4::ParserRuleContext {
2588
+ public:
2589
+ ReferencedAttributeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2590
+ virtual size_t getRuleIndex() const override;
2591
+ AttributeRefContext *attributeRef();
2592
+ QualifiedAttributeContext *qualifiedAttribute();
2593
+
2594
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2595
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2596
+
2597
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2598
+
2599
+ };
2600
+
2601
+ ReferencedAttributeContext* referencedAttribute();
2602
+
2603
+ class ReferenceClauseContext : public antlr4::ParserRuleContext {
2604
+ public:
2605
+ ReferenceClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2606
+ virtual size_t getRuleIndex() const override;
2607
+ antlr4::tree::TerminalNode *REFERENCE();
2608
+ antlr4::tree::TerminalNode *FROM();
2609
+ SchemaRefContext *schemaRef();
2610
+ std::vector<ResourceOrRenameContext *> resourceOrRename();
2611
+ ResourceOrRenameContext* resourceOrRename(size_t i);
2612
+
2613
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2614
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2615
+
2616
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2617
+
2618
+ };
2619
+
2620
+ ReferenceClauseContext* referenceClause();
2621
+
2622
+ class RelOpContext : public antlr4::ParserRuleContext {
2623
+ public:
2624
+ RelOpContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2625
+ virtual size_t getRuleIndex() const override;
2626
+
2627
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2628
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2629
+
2630
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2631
+
2632
+ };
2633
+
2634
+ RelOpContext* relOp();
2635
+
2636
+ class RelOpExtendedContext : public antlr4::ParserRuleContext {
2637
+ public:
2638
+ RelOpExtendedContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2639
+ virtual size_t getRuleIndex() const override;
2640
+ RelOpContext *relOp();
2641
+ antlr4::tree::TerminalNode *IN();
2642
+ antlr4::tree::TerminalNode *LIKE();
2643
+
2644
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2645
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2646
+
2647
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2648
+
2649
+ };
2650
+
2651
+ RelOpExtendedContext* relOpExtended();
2652
+
2653
+ class RenameIdContext : public antlr4::ParserRuleContext {
2654
+ public:
2655
+ RenameIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2656
+ virtual size_t getRuleIndex() const override;
2657
+ ConstantIdContext *constantId();
2658
+ EntityIdContext *entityId();
2659
+ FunctionIdContext *functionId();
2660
+ ProcedureIdContext *procedureId();
2661
+ TypeIdContext *typeId();
2662
+
2663
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2664
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2665
+
2666
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2667
+
2668
+ };
2669
+
2670
+ RenameIdContext* renameId();
2671
+
2672
+ class RepeatControlContext : public antlr4::ParserRuleContext {
2673
+ public:
2674
+ RepeatControlContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2675
+ virtual size_t getRuleIndex() const override;
2676
+ IncrementControlContext *incrementControl();
2677
+ WhileControlContext *whileControl();
2678
+ UntilControlContext *untilControl();
2679
+
2680
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2681
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2682
+
2683
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2684
+
2685
+ };
2686
+
2687
+ RepeatControlContext* repeatControl();
2688
+
2689
+ class RepeatStmtContext : public antlr4::ParserRuleContext {
2690
+ public:
2691
+ RepeatStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2692
+ virtual size_t getRuleIndex() const override;
2693
+ antlr4::tree::TerminalNode *REPEAT();
2694
+ RepeatControlContext *repeatControl();
2695
+ std::vector<StmtContext *> stmt();
2696
+ StmtContext* stmt(size_t i);
2697
+ antlr4::tree::TerminalNode *END_REPEAT();
2698
+
2699
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2700
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2701
+
2702
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2703
+
2704
+ };
2705
+
2706
+ RepeatStmtContext* repeatStmt();
2707
+
2708
+ class RepetitionContext : public antlr4::ParserRuleContext {
2709
+ public:
2710
+ RepetitionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2711
+ virtual size_t getRuleIndex() const override;
2712
+ NumericExpressionContext *numericExpression();
2713
+
2714
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2715
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2716
+
2717
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2718
+
2719
+ };
2720
+
2721
+ RepetitionContext* repetition();
2722
+
2723
+ class ResourceOrRenameContext : public antlr4::ParserRuleContext {
2724
+ public:
2725
+ ResourceOrRenameContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2726
+ virtual size_t getRuleIndex() const override;
2727
+ ResourceRefContext *resourceRef();
2728
+ antlr4::tree::TerminalNode *AS();
2729
+ RenameIdContext *renameId();
2730
+
2731
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2732
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2733
+
2734
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2735
+
2736
+ };
2737
+
2738
+ ResourceOrRenameContext* resourceOrRename();
2739
+
2740
+ class ResourceRefContext : public antlr4::ParserRuleContext {
2741
+ public:
2742
+ ResourceRefContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2743
+ virtual size_t getRuleIndex() const override;
2744
+ ConstantRefContext *constantRef();
2745
+ EntityRefContext *entityRef();
2746
+ FunctionRefContext *functionRef();
2747
+ ProcedureRefContext *procedureRef();
2748
+ TypeRefContext *typeRef();
2749
+
2750
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2751
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2752
+
2753
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2754
+
2755
+ };
2756
+
2757
+ ResourceRefContext* resourceRef();
2758
+
2759
+ class ReturnStmtContext : public antlr4::ParserRuleContext {
2760
+ public:
2761
+ ReturnStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2762
+ virtual size_t getRuleIndex() const override;
2763
+ antlr4::tree::TerminalNode *RETURN();
2764
+ ExpressionContext *expression();
2765
+
2766
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2767
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2768
+
2769
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2770
+
2771
+ };
2772
+
2773
+ ReturnStmtContext* returnStmt();
2774
+
2775
+ class RuleDeclContext : public antlr4::ParserRuleContext {
2776
+ public:
2777
+ RuleDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2778
+ virtual size_t getRuleIndex() const override;
2779
+ RuleHeadContext *ruleHead();
2780
+ AlgorithmHeadContext *algorithmHead();
2781
+ WhereClauseContext *whereClause();
2782
+ antlr4::tree::TerminalNode *END_RULE();
2783
+ std::vector<StmtContext *> stmt();
2784
+ StmtContext* stmt(size_t i);
2785
+
2786
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2787
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2788
+
2789
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2790
+
2791
+ };
2792
+
2793
+ RuleDeclContext* ruleDecl();
2794
+
2795
+ class RuleHeadContext : public antlr4::ParserRuleContext {
2796
+ public:
2797
+ RuleHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2798
+ virtual size_t getRuleIndex() const override;
2799
+ antlr4::tree::TerminalNode *RULE();
2800
+ RuleIdContext *ruleId();
2801
+ antlr4::tree::TerminalNode *FOR();
2802
+ std::vector<EntityRefContext *> entityRef();
2803
+ EntityRefContext* entityRef(size_t i);
2804
+
2805
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2806
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2807
+
2808
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2809
+
2810
+ };
2811
+
2812
+ RuleHeadContext* ruleHead();
2813
+
2814
+ class RuleIdContext : public antlr4::ParserRuleContext {
2815
+ public:
2816
+ RuleIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2817
+ virtual size_t getRuleIndex() const override;
2818
+ antlr4::tree::TerminalNode *SimpleId();
2819
+
2820
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2821
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2822
+
2823
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2824
+
2825
+ };
2826
+
2827
+ RuleIdContext* ruleId();
2828
+
2829
+ class RuleLabelIdContext : public antlr4::ParserRuleContext {
2830
+ public:
2831
+ RuleLabelIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2832
+ virtual size_t getRuleIndex() const override;
2833
+ antlr4::tree::TerminalNode *SimpleId();
2834
+
2835
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2836
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2837
+
2838
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2839
+
2840
+ };
2841
+
2842
+ RuleLabelIdContext* ruleLabelId();
2843
+
2844
+ class SchemaBodyContext : public antlr4::ParserRuleContext {
2845
+ public:
2846
+ SchemaBodyContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2847
+ virtual size_t getRuleIndex() const override;
2848
+ std::vector<InterfaceSpecificationContext *> interfaceSpecification();
2849
+ InterfaceSpecificationContext* interfaceSpecification(size_t i);
2850
+ ConstantDeclContext *constantDecl();
2851
+ std::vector<SchemaBodyDeclarationContext *> schemaBodyDeclaration();
2852
+ SchemaBodyDeclarationContext* schemaBodyDeclaration(size_t i);
2853
+
2854
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2855
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2856
+
2857
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2858
+
2859
+ };
2860
+
2861
+ SchemaBodyContext* schemaBody();
2862
+
2863
+ class SchemaBodyDeclarationContext : public antlr4::ParserRuleContext {
2864
+ public:
2865
+ SchemaBodyDeclarationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2866
+ virtual size_t getRuleIndex() const override;
2867
+ DeclarationContext *declaration();
2868
+ RuleDeclContext *ruleDecl();
2869
+
2870
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2871
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2872
+
2873
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2874
+
2875
+ };
2876
+
2877
+ SchemaBodyDeclarationContext* schemaBodyDeclaration();
2878
+
2879
+ class SchemaDeclContext : public antlr4::ParserRuleContext {
2880
+ public:
2881
+ SchemaDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2882
+ virtual size_t getRuleIndex() const override;
2883
+ antlr4::tree::TerminalNode *SCHEMA();
2884
+ SchemaIdContext *schemaId();
2885
+ SchemaBodyContext *schemaBody();
2886
+ antlr4::tree::TerminalNode *END_SCHEMA();
2887
+ SchemaVersionIdContext *schemaVersionId();
2888
+
2889
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2890
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2891
+
2892
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2893
+
2894
+ };
2895
+
2896
+ SchemaDeclContext* schemaDecl();
2897
+
2898
+ class SchemaIdContext : public antlr4::ParserRuleContext {
2899
+ public:
2900
+ SchemaIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2901
+ virtual size_t getRuleIndex() const override;
2902
+ antlr4::tree::TerminalNode *SimpleId();
2903
+
2904
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2905
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2906
+
2907
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2908
+
2909
+ };
2910
+
2911
+ SchemaIdContext* schemaId();
2912
+
2913
+ class SchemaVersionIdContext : public antlr4::ParserRuleContext {
2914
+ public:
2915
+ SchemaVersionIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2916
+ virtual size_t getRuleIndex() const override;
2917
+ StringLiteralContext *stringLiteral();
2918
+
2919
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2920
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2921
+
2922
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2923
+
2924
+ };
2925
+
2926
+ SchemaVersionIdContext* schemaVersionId();
2927
+
2928
+ class SelectorContext : public antlr4::ParserRuleContext {
2929
+ public:
2930
+ SelectorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2931
+ virtual size_t getRuleIndex() const override;
2932
+ ExpressionContext *expression();
2933
+
2934
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2935
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2936
+
2937
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2938
+
2939
+ };
2940
+
2941
+ SelectorContext* selector();
2942
+
2943
+ class SelectExtensionContext : public antlr4::ParserRuleContext {
2944
+ public:
2945
+ SelectExtensionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2946
+ virtual size_t getRuleIndex() const override;
2947
+ antlr4::tree::TerminalNode *BASED_ON();
2948
+ TypeRefContext *typeRef();
2949
+ antlr4::tree::TerminalNode *WITH();
2950
+ SelectListContext *selectList();
2951
+
2952
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2953
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2954
+
2955
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2956
+
2957
+ };
2958
+
2959
+ SelectExtensionContext* selectExtension();
2960
+
2961
+ class SelectListContext : public antlr4::ParserRuleContext {
2962
+ public:
2963
+ SelectListContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2964
+ virtual size_t getRuleIndex() const override;
2965
+ std::vector<NamedTypesContext *> namedTypes();
2966
+ NamedTypesContext* namedTypes(size_t i);
2967
+
2968
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2969
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2970
+
2971
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2972
+
2973
+ };
2974
+
2975
+ SelectListContext* selectList();
2976
+
2977
+ class SelectTypeContext : public antlr4::ParserRuleContext {
2978
+ public:
2979
+ SelectTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2980
+ virtual size_t getRuleIndex() const override;
2981
+ antlr4::tree::TerminalNode *SELECT();
2982
+ antlr4::tree::TerminalNode *EXTENSIBLE();
2983
+ SelectListContext *selectList();
2984
+ SelectExtensionContext *selectExtension();
2985
+ antlr4::tree::TerminalNode *GENERIC_ENTITY();
2986
+
2987
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
2988
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
2989
+
2990
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
2991
+
2992
+ };
2993
+
2994
+ SelectTypeContext* selectType();
2995
+
2996
+ class SetTypeContext : public antlr4::ParserRuleContext {
2997
+ public:
2998
+ SetTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
2999
+ virtual size_t getRuleIndex() const override;
3000
+ antlr4::tree::TerminalNode *SET();
3001
+ antlr4::tree::TerminalNode *OF();
3002
+ InstantiableTypeContext *instantiableType();
3003
+ BoundSpecContext *boundSpec();
3004
+
3005
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3006
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3007
+
3008
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3009
+
3010
+ };
3011
+
3012
+ SetTypeContext* setType();
3013
+
3014
+ class SimpleExpressionContext : public antlr4::ParserRuleContext {
3015
+ public:
3016
+ SimpleExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3017
+ virtual size_t getRuleIndex() const override;
3018
+ std::vector<TermContext *> term();
3019
+ TermContext* term(size_t i);
3020
+ std::vector<AddLikeOpContext *> addLikeOp();
3021
+ AddLikeOpContext* addLikeOp(size_t i);
3022
+
3023
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3024
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3025
+
3026
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3027
+
3028
+ };
3029
+
3030
+ SimpleExpressionContext* simpleExpression();
3031
+
3032
+ class SimpleFactorContext : public antlr4::ParserRuleContext {
3033
+ public:
3034
+ SimpleFactorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3035
+ virtual size_t getRuleIndex() const override;
3036
+ AggregateInitializerContext *aggregateInitializer();
3037
+ EntityConstructorContext *entityConstructor();
3038
+ EnumerationReferenceContext *enumerationReference();
3039
+ IntervalContext *interval();
3040
+ QueryExpressionContext *queryExpression();
3041
+ SimpleFactorExpressionContext *simpleFactorExpression();
3042
+ SimpleFactorUnaryExpressionContext *simpleFactorUnaryExpression();
3043
+
3044
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3045
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3046
+
3047
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3048
+
3049
+ };
3050
+
3051
+ SimpleFactorContext* simpleFactor();
3052
+
3053
+ class SimpleFactorExpressionContext : public antlr4::ParserRuleContext {
3054
+ public:
3055
+ SimpleFactorExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3056
+ virtual size_t getRuleIndex() const override;
3057
+ ExpressionContext *expression();
3058
+ PrimaryContext *primary();
3059
+
3060
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3061
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3062
+
3063
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3064
+
3065
+ };
3066
+
3067
+ SimpleFactorExpressionContext* simpleFactorExpression();
3068
+
3069
+ class SimpleFactorUnaryExpressionContext : public antlr4::ParserRuleContext {
3070
+ public:
3071
+ SimpleFactorUnaryExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3072
+ virtual size_t getRuleIndex() const override;
3073
+ UnaryOpContext *unaryOp();
3074
+ SimpleFactorExpressionContext *simpleFactorExpression();
3075
+
3076
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3077
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3078
+
3079
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3080
+
3081
+ };
3082
+
3083
+ SimpleFactorUnaryExpressionContext* simpleFactorUnaryExpression();
3084
+
3085
+ class SimpleTypesContext : public antlr4::ParserRuleContext {
3086
+ public:
3087
+ SimpleTypesContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3088
+ virtual size_t getRuleIndex() const override;
3089
+ BinaryTypeContext *binaryType();
3090
+ BooleanTypeContext *booleanType();
3091
+ IntegerTypeContext *integerType();
3092
+ LogicalTypeContext *logicalType();
3093
+ NumberTypeContext *numberType();
3094
+ RealTypeContext *realType();
3095
+ StringTypeContext *stringType();
3096
+
3097
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3098
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3099
+
3100
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3101
+
3102
+ };
3103
+
3104
+ SimpleTypesContext* simpleTypes();
3105
+
3106
+ class SkipStmtContext : public antlr4::ParserRuleContext {
3107
+ public:
3108
+ SkipStmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3109
+ virtual size_t getRuleIndex() const override;
3110
+ antlr4::tree::TerminalNode *SKIP_();
3111
+
3112
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3113
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3114
+
3115
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3116
+
3117
+ };
3118
+
3119
+ SkipStmtContext* skipStmt();
3120
+
3121
+ class StmtContext : public antlr4::ParserRuleContext {
3122
+ public:
3123
+ StmtContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3124
+ virtual size_t getRuleIndex() const override;
3125
+ AliasStmtContext *aliasStmt();
3126
+ AssignmentStmtContext *assignmentStmt();
3127
+ CaseStmtContext *caseStmt();
3128
+ CompoundStmtContext *compoundStmt();
3129
+ EscapeStmtContext *escapeStmt();
3130
+ IfStmtContext *ifStmt();
3131
+ NullStmtContext *nullStmt();
3132
+ ProcedureCallStmtContext *procedureCallStmt();
3133
+ RepeatStmtContext *repeatStmt();
3134
+ ReturnStmtContext *returnStmt();
3135
+ SkipStmtContext *skipStmt();
3136
+
3137
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3138
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3139
+
3140
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3141
+
3142
+ };
3143
+
3144
+ StmtContext* stmt();
3145
+
3146
+ class StringLiteralContext : public antlr4::ParserRuleContext {
3147
+ public:
3148
+ StringLiteralContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3149
+ virtual size_t getRuleIndex() const override;
3150
+ antlr4::tree::TerminalNode *SimpleStringLiteral();
3151
+ antlr4::tree::TerminalNode *EncodedStringLiteral();
3152
+
3153
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3154
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3155
+
3156
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3157
+
3158
+ };
3159
+
3160
+ StringLiteralContext* stringLiteral();
3161
+
3162
+ class StringTypeContext : public antlr4::ParserRuleContext {
3163
+ public:
3164
+ StringTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3165
+ virtual size_t getRuleIndex() const override;
3166
+ antlr4::tree::TerminalNode *STRING();
3167
+ WidthSpecContext *widthSpec();
3168
+
3169
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3170
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3171
+
3172
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3173
+
3174
+ };
3175
+
3176
+ StringTypeContext* stringType();
3177
+
3178
+ class SubsuperContext : public antlr4::ParserRuleContext {
3179
+ public:
3180
+ SubsuperContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3181
+ virtual size_t getRuleIndex() const override;
3182
+ SupertypeConstraintContext *supertypeConstraint();
3183
+ SubtypeDeclarationContext *subtypeDeclaration();
3184
+
3185
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3186
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3187
+
3188
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3189
+
3190
+ };
3191
+
3192
+ SubsuperContext* subsuper();
3193
+
3194
+ class SubtypeConstraintContext : public antlr4::ParserRuleContext {
3195
+ public:
3196
+ SubtypeConstraintContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3197
+ virtual size_t getRuleIndex() const override;
3198
+ antlr4::tree::TerminalNode *OF();
3199
+ SupertypeExpressionContext *supertypeExpression();
3200
+
3201
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3202
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3203
+
3204
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3205
+
3206
+ };
3207
+
3208
+ SubtypeConstraintContext* subtypeConstraint();
3209
+
3210
+ class SubtypeConstraintBodyContext : public antlr4::ParserRuleContext {
3211
+ public:
3212
+ SubtypeConstraintBodyContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3213
+ virtual size_t getRuleIndex() const override;
3214
+ AbstractSupertypeContext *abstractSupertype();
3215
+ TotalOverContext *totalOver();
3216
+ SupertypeExpressionContext *supertypeExpression();
3217
+
3218
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3219
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3220
+
3221
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3222
+
3223
+ };
3224
+
3225
+ SubtypeConstraintBodyContext* subtypeConstraintBody();
3226
+
3227
+ class SubtypeConstraintDeclContext : public antlr4::ParserRuleContext {
3228
+ public:
3229
+ SubtypeConstraintDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3230
+ virtual size_t getRuleIndex() const override;
3231
+ SubtypeConstraintHeadContext *subtypeConstraintHead();
3232
+ SubtypeConstraintBodyContext *subtypeConstraintBody();
3233
+ antlr4::tree::TerminalNode *END_SUBTYPE_CONSTRAINT();
3234
+
3235
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3236
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3237
+
3238
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3239
+
3240
+ };
3241
+
3242
+ SubtypeConstraintDeclContext* subtypeConstraintDecl();
3243
+
3244
+ class SubtypeConstraintHeadContext : public antlr4::ParserRuleContext {
3245
+ public:
3246
+ SubtypeConstraintHeadContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3247
+ virtual size_t getRuleIndex() const override;
3248
+ antlr4::tree::TerminalNode *SUBTYPE_CONSTRAINT();
3249
+ SubtypeConstraintIdContext *subtypeConstraintId();
3250
+ antlr4::tree::TerminalNode *FOR();
3251
+ EntityRefContext *entityRef();
3252
+
3253
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3254
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3255
+
3256
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3257
+
3258
+ };
3259
+
3260
+ SubtypeConstraintHeadContext* subtypeConstraintHead();
3261
+
3262
+ class SubtypeConstraintIdContext : public antlr4::ParserRuleContext {
3263
+ public:
3264
+ SubtypeConstraintIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3265
+ virtual size_t getRuleIndex() const override;
3266
+ antlr4::tree::TerminalNode *SimpleId();
3267
+
3268
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3269
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3270
+
3271
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3272
+
3273
+ };
3274
+
3275
+ SubtypeConstraintIdContext* subtypeConstraintId();
3276
+
3277
+ class SubtypeDeclarationContext : public antlr4::ParserRuleContext {
3278
+ public:
3279
+ SubtypeDeclarationContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3280
+ virtual size_t getRuleIndex() const override;
3281
+ antlr4::tree::TerminalNode *SUBTYPE();
3282
+ antlr4::tree::TerminalNode *OF();
3283
+ std::vector<EntityRefContext *> entityRef();
3284
+ EntityRefContext* entityRef(size_t i);
3285
+
3286
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3287
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3288
+
3289
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3290
+
3291
+ };
3292
+
3293
+ SubtypeDeclarationContext* subtypeDeclaration();
3294
+
3295
+ class SupertypeConstraintContext : public antlr4::ParserRuleContext {
3296
+ public:
3297
+ SupertypeConstraintContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3298
+ virtual size_t getRuleIndex() const override;
3299
+ AbstractEntityDeclarationContext *abstractEntityDeclaration();
3300
+ AbstractSupertypeDeclarationContext *abstractSupertypeDeclaration();
3301
+ SupertypeRuleContext *supertypeRule();
3302
+
3303
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3304
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3305
+
3306
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3307
+
3308
+ };
3309
+
3310
+ SupertypeConstraintContext* supertypeConstraint();
3311
+
3312
+ class SupertypeExpressionContext : public antlr4::ParserRuleContext {
3313
+ public:
3314
+ SupertypeExpressionContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3315
+ virtual size_t getRuleIndex() const override;
3316
+ std::vector<SupertypeFactorContext *> supertypeFactor();
3317
+ SupertypeFactorContext* supertypeFactor(size_t i);
3318
+ std::vector<antlr4::tree::TerminalNode *> ANDOR();
3319
+ antlr4::tree::TerminalNode* ANDOR(size_t i);
3320
+
3321
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3322
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3323
+
3324
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3325
+
3326
+ };
3327
+
3328
+ SupertypeExpressionContext* supertypeExpression();
3329
+
3330
+ class SupertypeFactorContext : public antlr4::ParserRuleContext {
3331
+ public:
3332
+ SupertypeFactorContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3333
+ virtual size_t getRuleIndex() const override;
3334
+ std::vector<SupertypeTermContext *> supertypeTerm();
3335
+ SupertypeTermContext* supertypeTerm(size_t i);
3336
+ std::vector<antlr4::tree::TerminalNode *> AND();
3337
+ antlr4::tree::TerminalNode* AND(size_t i);
3338
+
3339
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3340
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3341
+
3342
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3343
+
3344
+ };
3345
+
3346
+ SupertypeFactorContext* supertypeFactor();
3347
+
3348
+ class SupertypeRuleContext : public antlr4::ParserRuleContext {
3349
+ public:
3350
+ SupertypeRuleContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3351
+ virtual size_t getRuleIndex() const override;
3352
+ antlr4::tree::TerminalNode *SUPERTYPE();
3353
+ SubtypeConstraintContext *subtypeConstraint();
3354
+
3355
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3356
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3357
+
3358
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3359
+
3360
+ };
3361
+
3362
+ SupertypeRuleContext* supertypeRule();
3363
+
3364
+ class SupertypeTermContext : public antlr4::ParserRuleContext {
3365
+ public:
3366
+ SupertypeTermContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3367
+ virtual size_t getRuleIndex() const override;
3368
+ EntityRefContext *entityRef();
3369
+ OneOfContext *oneOf();
3370
+ SupertypeExpressionContext *supertypeExpression();
3371
+
3372
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3373
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3374
+
3375
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3376
+
3377
+ };
3378
+
3379
+ SupertypeTermContext* supertypeTerm();
3380
+
3381
+ class SyntaxContext : public antlr4::ParserRuleContext {
3382
+ public:
3383
+ SyntaxContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3384
+ virtual size_t getRuleIndex() const override;
3385
+ antlr4::tree::TerminalNode *EOF();
3386
+ std::vector<SchemaDeclContext *> schemaDecl();
3387
+ SchemaDeclContext* schemaDecl(size_t i);
3388
+
3389
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3390
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3391
+
3392
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3393
+
3394
+ };
3395
+
3396
+ SyntaxContext* syntax();
3397
+
3398
+ class TermContext : public antlr4::ParserRuleContext {
3399
+ public:
3400
+ TermContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3401
+ virtual size_t getRuleIndex() const override;
3402
+ std::vector<FactorContext *> factor();
3403
+ FactorContext* factor(size_t i);
3404
+ std::vector<MultiplicationLikeOpContext *> multiplicationLikeOp();
3405
+ MultiplicationLikeOpContext* multiplicationLikeOp(size_t i);
3406
+
3407
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3408
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3409
+
3410
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3411
+
3412
+ };
3413
+
3414
+ TermContext* term();
3415
+
3416
+ class TotalOverContext : public antlr4::ParserRuleContext {
3417
+ public:
3418
+ TotalOverContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3419
+ virtual size_t getRuleIndex() const override;
3420
+ antlr4::tree::TerminalNode *TOTAL_OVER();
3421
+ std::vector<EntityRefContext *> entityRef();
3422
+ EntityRefContext* entityRef(size_t i);
3423
+
3424
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3425
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3426
+
3427
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3428
+
3429
+ };
3430
+
3431
+ TotalOverContext* totalOver();
3432
+
3433
+ class TypeDeclContext : public antlr4::ParserRuleContext {
3434
+ public:
3435
+ TypeDeclContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3436
+ virtual size_t getRuleIndex() const override;
3437
+ antlr4::tree::TerminalNode *TYPE();
3438
+ TypeIdContext *typeId();
3439
+ UnderlyingTypeContext *underlyingType();
3440
+ antlr4::tree::TerminalNode *END_TYPE();
3441
+ WhereClauseContext *whereClause();
3442
+
3443
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3444
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3445
+
3446
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3447
+
3448
+ };
3449
+
3450
+ TypeDeclContext* typeDecl();
3451
+
3452
+ class TypeIdContext : public antlr4::ParserRuleContext {
3453
+ public:
3454
+ TypeIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3455
+ virtual size_t getRuleIndex() const override;
3456
+ antlr4::tree::TerminalNode *SimpleId();
3457
+
3458
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3459
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3460
+
3461
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3462
+
3463
+ };
3464
+
3465
+ TypeIdContext* typeId();
3466
+
3467
+ class TypeLabelContext : public antlr4::ParserRuleContext {
3468
+ public:
3469
+ TypeLabelContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3470
+ virtual size_t getRuleIndex() const override;
3471
+ TypeLabelIdContext *typeLabelId();
3472
+ TypeLabelRefContext *typeLabelRef();
3473
+
3474
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3475
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3476
+
3477
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3478
+
3479
+ };
3480
+
3481
+ TypeLabelContext* typeLabel();
3482
+
3483
+ class TypeLabelIdContext : public antlr4::ParserRuleContext {
3484
+ public:
3485
+ TypeLabelIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3486
+ virtual size_t getRuleIndex() const override;
3487
+ antlr4::tree::TerminalNode *SimpleId();
3488
+
3489
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3490
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3491
+
3492
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3493
+
3494
+ };
3495
+
3496
+ TypeLabelIdContext* typeLabelId();
3497
+
3498
+ class UnaryOpContext : public antlr4::ParserRuleContext {
3499
+ public:
3500
+ UnaryOpContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3501
+ virtual size_t getRuleIndex() const override;
3502
+ antlr4::tree::TerminalNode *NOT();
3503
+
3504
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3505
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3506
+
3507
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3508
+
3509
+ };
3510
+
3511
+ UnaryOpContext* unaryOp();
3512
+
3513
+ class UnderlyingTypeContext : public antlr4::ParserRuleContext {
3514
+ public:
3515
+ UnderlyingTypeContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3516
+ virtual size_t getRuleIndex() const override;
3517
+ ConcreteTypesContext *concreteTypes();
3518
+ ConstructedTypesContext *constructedTypes();
3519
+
3520
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3521
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3522
+
3523
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3524
+
3525
+ };
3526
+
3527
+ UnderlyingTypeContext* underlyingType();
3528
+
3529
+ class UniqueClauseContext : public antlr4::ParserRuleContext {
3530
+ public:
3531
+ UniqueClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3532
+ virtual size_t getRuleIndex() const override;
3533
+ antlr4::tree::TerminalNode *UNIQUE();
3534
+ std::vector<UniqueRuleContext *> uniqueRule();
3535
+ UniqueRuleContext* uniqueRule(size_t i);
3536
+
3537
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3538
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3539
+
3540
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3541
+
3542
+ };
3543
+
3544
+ UniqueClauseContext* uniqueClause();
3545
+
3546
+ class UniqueRuleContext : public antlr4::ParserRuleContext {
3547
+ public:
3548
+ UniqueRuleContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3549
+ virtual size_t getRuleIndex() const override;
3550
+ std::vector<ReferencedAttributeContext *> referencedAttribute();
3551
+ ReferencedAttributeContext* referencedAttribute(size_t i);
3552
+ RuleLabelIdContext *ruleLabelId();
3553
+
3554
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3555
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3556
+
3557
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3558
+
3559
+ };
3560
+
3561
+ UniqueRuleContext* uniqueRule();
3562
+
3563
+ class UntilControlContext : public antlr4::ParserRuleContext {
3564
+ public:
3565
+ UntilControlContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3566
+ virtual size_t getRuleIndex() const override;
3567
+ antlr4::tree::TerminalNode *UNTIL();
3568
+ LogicalExpressionContext *logicalExpression();
3569
+
3570
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3571
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3572
+
3573
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3574
+
3575
+ };
3576
+
3577
+ UntilControlContext* untilControl();
3578
+
3579
+ class UseClauseContext : public antlr4::ParserRuleContext {
3580
+ public:
3581
+ UseClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3582
+ virtual size_t getRuleIndex() const override;
3583
+ antlr4::tree::TerminalNode *USE();
3584
+ antlr4::tree::TerminalNode *FROM();
3585
+ SchemaRefContext *schemaRef();
3586
+ std::vector<NamedTypeOrRenameContext *> namedTypeOrRename();
3587
+ NamedTypeOrRenameContext* namedTypeOrRename(size_t i);
3588
+
3589
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3590
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3591
+
3592
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3593
+
3594
+ };
3595
+
3596
+ UseClauseContext* useClause();
3597
+
3598
+ class VariableIdContext : public antlr4::ParserRuleContext {
3599
+ public:
3600
+ VariableIdContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3601
+ virtual size_t getRuleIndex() const override;
3602
+ antlr4::tree::TerminalNode *SimpleId();
3603
+
3604
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3605
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3606
+
3607
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3608
+
3609
+ };
3610
+
3611
+ VariableIdContext* variableId();
3612
+
3613
+ class WhereClauseContext : public antlr4::ParserRuleContext {
3614
+ public:
3615
+ WhereClauseContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3616
+ virtual size_t getRuleIndex() const override;
3617
+ antlr4::tree::TerminalNode *WHERE();
3618
+ std::vector<DomainRuleContext *> domainRule();
3619
+ DomainRuleContext* domainRule(size_t i);
3620
+
3621
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3622
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3623
+
3624
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3625
+
3626
+ };
3627
+
3628
+ WhereClauseContext* whereClause();
3629
+
3630
+ class WhileControlContext : public antlr4::ParserRuleContext {
3631
+ public:
3632
+ WhileControlContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3633
+ virtual size_t getRuleIndex() const override;
3634
+ antlr4::tree::TerminalNode *WHILE();
3635
+ LogicalExpressionContext *logicalExpression();
3636
+
3637
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3638
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3639
+
3640
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3641
+
3642
+ };
3643
+
3644
+ WhileControlContext* whileControl();
3645
+
3646
+ class WidthContext : public antlr4::ParserRuleContext {
3647
+ public:
3648
+ WidthContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3649
+ virtual size_t getRuleIndex() const override;
3650
+ NumericExpressionContext *numericExpression();
3651
+
3652
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3653
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3654
+
3655
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3656
+
3657
+ };
3658
+
3659
+ WidthContext* width();
3660
+
3661
+ class WidthSpecContext : public antlr4::ParserRuleContext {
3662
+ public:
3663
+ WidthSpecContext(antlr4::ParserRuleContext *parent, size_t invokingState);
3664
+ virtual size_t getRuleIndex() const override;
3665
+ WidthContext *width();
3666
+ antlr4::tree::TerminalNode *FIXED();
3667
+
3668
+ virtual void enterRule(antlr4::tree::ParseTreeListener *listener) override;
3669
+ virtual void exitRule(antlr4::tree::ParseTreeListener *listener) override;
3670
+
3671
+ virtual antlrcpp::Any accept(antlr4::tree::ParseTreeVisitor *visitor) override;
3672
+
3673
+ };
3674
+
3675
+ WidthSpecContext* widthSpec();
3676
+
3677
+
3678
+ private:
3679
+ static std::vector<antlr4::dfa::DFA> _decisionToDFA;
3680
+ static antlr4::atn::PredictionContextCache _sharedContextCache;
3681
+ static std::vector<std::string> _ruleNames;
3682
+ static std::vector<std::string> _tokenNames;
3683
+
3684
+ static std::vector<std::string> _literalNames;
3685
+ static std::vector<std::string> _symbolicNames;
3686
+ static antlr4::dfa::Vocabulary _vocabulary;
3687
+ static antlr4::atn::ATN _atn;
3688
+ static std::vector<uint16_t> _serializedATN;
3689
+
3690
+
3691
+ struct Initializer {
3692
+ Initializer();
3693
+ };
3694
+ static Initializer _init;
3695
+ };
3696
+