axi_tdl 0.1.0 → 0.1.1
Sign up to get free protection for your applications and to get access to all the features.
- checksums.yaml +4 -4
- data/lib/axi_tdl/version.rb +1 -1
- data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +1 -1
- data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +9 -0
- data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +3 -1
- data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +43 -0
- data/lib/tdl/examples/11_test_unit/tu0.sv +1 -1
- data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
- data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
- data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +1 -1
- data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +1 -1
- data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +2 -2
- data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
- data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +1 -1
- data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +1 -1
- data/lib/tdl/examples/8_top_module/dve.tcl +155 -2
- data/lib/tdl/examples/8_top_module/tb_test_top.sv +2 -1
- data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +29 -0
- data/lib/tdl/examples/8_top_module/test_top.sv +1 -1
- data/lib/tdl/examples/8_top_module/test_top_sim.sv +9 -0
- data/lib/tdl/examples/9_itegration/dve.tcl +155 -2
- data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -1
- data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +2 -1
- data/lib/tdl/examples/9_itegration/test_tttop.sv +1 -1
- data/lib/tdl/exlib/itegration_verb.rb +2 -2
- data/lib/tdl/sdlmodule/sdlmodule_draw.rb +1 -1
- metadata +5 -2
- data/CODE_OF_CONDUCT.md +0 -74
checksums.yaml
CHANGED
@@ -1,7 +1,7 @@
|
|
1
1
|
---
|
2
2
|
SHA256:
|
3
|
-
metadata.gz:
|
4
|
-
data.tar.gz:
|
3
|
+
metadata.gz: 439ae42138c5aada56c83dd47f45cd49b3656cb28be616b4822bef416dbe0119
|
4
|
+
data.tar.gz: 38c43f0001cd823c0afbec70028c1bfbf2b4d989cab62b07093feb286091a6ef
|
5
5
|
SHA512:
|
6
|
-
metadata.gz:
|
7
|
-
data.tar.gz:
|
6
|
+
metadata.gz: 8b76339527a7f7c98dad604e66be87877a14baace05e62d21b661f2afb4edade474e9a2fc2387e99459d5bac7de6682832ccf1d5ddcda2aec957123bbadaa131
|
7
|
+
data.tar.gz: 6142b478eaceb625985a972b7510aa66c35a043c08d569405d5e1082a8b374c98a45f63fd2a19aaf1cd8890e848dea821853c01536d1c0fe02426f731e0398bd
|
data/lib/axi_tdl/version.rb
CHANGED
@@ -5,10 +5,12 @@ _______________________________________
|
|
5
5
|
descript:
|
6
6
|
author : Cook.Darwin
|
7
7
|
Version: VERA.0.0
|
8
|
-
created: 2021-03-
|
8
|
+
created: 2021-03-21 23:54:11 +0800
|
9
9
|
madified:
|
10
10
|
***********************************************/
|
11
11
|
`timescale 1ns/1ps
|
12
|
+
`timescale 1ns/1ps
|
13
|
+
`timescale 1ns/1ps
|
12
14
|
|
13
15
|
module tb_exp_test_unit();
|
14
16
|
//==========================================================================
|
@@ -0,0 +1,43 @@
|
|
1
|
+
/**********************************************
|
2
|
+
_______________________________________
|
3
|
+
___________ Cook Darwin __________
|
4
|
+
_______________________________________
|
5
|
+
descript:
|
6
|
+
author : Cook.Darwin
|
7
|
+
Version: VERA.0.0
|
8
|
+
created: 2021-03-21 23:51:36 +0800
|
9
|
+
madified:
|
10
|
+
***********************************************/
|
11
|
+
`timescale 1ns/1ps
|
12
|
+
`timescale 1ns/1ps
|
13
|
+
`timescale 1ns/1ps
|
14
|
+
|
15
|
+
module tb_exp_test_unit_sim();
|
16
|
+
//==========================================================================
|
17
|
+
//-------- define ----------------------------------------------------------
|
18
|
+
logic sys_clk;
|
19
|
+
string test_unit_region;
|
20
|
+
logic [2-1:0] unit_pass_u ;
|
21
|
+
logic [2-1:0] unit_pass_d ;
|
22
|
+
|
23
|
+
//==========================================================================
|
24
|
+
//-------- instance --------------------------------------------------------
|
25
|
+
exp_test_unit_sim rtl_top(
|
26
|
+
/* input clock */.clock (sys_clk ),
|
27
|
+
/* input reset */.rst_n (1'b1 )
|
28
|
+
);
|
29
|
+
tu0 test_unit_0(
|
30
|
+
/* input */.from_up_pass (unit_pass_u[0] ),
|
31
|
+
/* output */.to_down_pass (unit_pass_d[0] )
|
32
|
+
);
|
33
|
+
tu1 test_unit_1(
|
34
|
+
/* input */.from_up_pass (unit_pass_u[1] ),
|
35
|
+
/* output */.to_down_pass (unit_pass_d[1] )
|
36
|
+
);
|
37
|
+
//==========================================================================
|
38
|
+
//-------- expression ------------------------------------------------------
|
39
|
+
assign unit_pass_u[0] = 1'b1;
|
40
|
+
|
41
|
+
assign unit_pass_u[1] = unit_pass_d[0];
|
42
|
+
|
43
|
+
endmodule
|
@@ -5,7 +5,7 @@ _______________________________________
|
|
5
5
|
descript:
|
6
6
|
author : Cook.Darwin
|
7
7
|
Version: VERA.0.0
|
8
|
-
created: 2021-03-
|
8
|
+
created: 2021-03-21 23:51:42 +0800
|
9
9
|
madified:
|
10
10
|
***********************************************/
|
11
11
|
`timescale 1ns/1ps
|
@@ -19,7 +19,7 @@ module test_module_var #(
|
|
19
19
|
|
20
20
|
//==========================================================================
|
21
21
|
//-------- define ----------------------------------------------------------
|
22
|
-
localparam ASIZE = 20;
|
22
|
+
localparam ASIZE = 20 ;
|
23
23
|
axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
|
24
24
|
axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis0_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
|
25
25
|
axi_inf #(.DSIZE(32),.IDSIZE(2),.ASIZE(8),.LSIZE(9),.MODE("BOTH"),.ADDR_STEP(4294967295)) tmp_axi4_inf (.axi_aclk(clock),.axi_aresetn(rst_n)) ;
|
@@ -12,6 +12,128 @@ gui_set_time_units 1ps
|
|
12
12
|
## gui_sg_addsignal -group "$_wave_session_group" { {Sim:tb_Mammo_TCP_sim.g1_test_mac_1g_inst.test_fpga_version_inst.ctrl_udp_rd_version} {Sim:tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.to_ctrl_tap_in_inf} {Sim:tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.ctrl_tap_inf} {Sim:tb_Mammo_TCP_sim.g1_test_mac_1g_inst.tcp_udp_proto_workshop_1G_inst.genblk1[0].tcp_data_stack_top_inst.client_port} }
|
13
13
|
## ==== [add_signal] ===== ##
|
14
14
|
|
15
|
+
## -------------- sub_md0_logic -------------------------
|
16
|
+
set _wave_session_group_sub_md0_logic sub_md0_logic
|
17
|
+
# set _wave_session_group_sub_md0_logic [gui_sg_generate_new_name -seed sub_md0_logic]
|
18
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_logic"]} {
|
19
|
+
set _wave_session_group_sub_md0_logic [gui_sg_generate_new_name]
|
20
|
+
}
|
21
|
+
set Group2_sub_md0_logic "$_wave_session_group_sub_md0_logic"
|
22
|
+
|
23
|
+
## 添加信号到 group
|
24
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_logic" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.cnt} }
|
25
|
+
## ============== sub_md0_logic =========================
|
26
|
+
|
27
|
+
|
28
|
+
## -------------- sub_md0_interface -------------------------
|
29
|
+
set _wave_session_group_sub_md0_interface sub_md0_interface
|
30
|
+
# set _wave_session_group_sub_md0_interface [gui_sg_generate_new_name -seed sub_md0_interface]
|
31
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_interface"]} {
|
32
|
+
set _wave_session_group_sub_md0_interface [gui_sg_generate_new_name]
|
33
|
+
}
|
34
|
+
set Group2_sub_md0_interface "$_wave_session_group_sub_md0_interface"
|
35
|
+
|
36
|
+
## 添加信号到 group
|
37
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_interface" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.axis_in} }
|
38
|
+
## ============== sub_md0_interface =========================
|
39
|
+
|
40
|
+
|
41
|
+
## -------------- sub_md0_default -------------------------
|
42
|
+
set _wave_session_group_sub_md0_default sub_md0_default
|
43
|
+
# set _wave_session_group_sub_md0_default [gui_sg_generate_new_name -seed sub_md0_default]
|
44
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_default"]} {
|
45
|
+
set _wave_session_group_sub_md0_default [gui_sg_generate_new_name]
|
46
|
+
}
|
47
|
+
set Group2_sub_md0_default "$_wave_session_group_sub_md0_default"
|
48
|
+
|
49
|
+
## 添加信号到 group
|
50
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_default" { }
|
51
|
+
## ============== sub_md0_default =========================
|
52
|
+
|
53
|
+
|
54
|
+
## -------------- sub_md0_default.inter_tf -------------------------
|
55
|
+
## set _wave_session_group_sub_md0_default_inter_tf Group1
|
56
|
+
## set _wave_session_group_sub_md0_default_inter_tf [gui_sg_generate_new_name -seed inter_tf -parent $_wave_session_group_sub_md0_default ]
|
57
|
+
|
58
|
+
set _wave_session_group_sub_md0_default_inter_tf $_wave_session_group_sub_md0_default|
|
59
|
+
append _wave_session_group_sub_md0_default_inter_tf inter_tf
|
60
|
+
set sub_md0_default|inter_tf "$_wave_session_group_sub_md0_default_inter_tf"
|
61
|
+
|
62
|
+
# set Group2_sub_md0_default_inter_tf "$_wave_session_group_sub_md0_default_inter_tf"
|
63
|
+
|
64
|
+
## 添加信号到 group
|
65
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_default_inter_tf" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.inter_tf} }
|
66
|
+
## ============== sub_md0_default.inter_tf =========================
|
67
|
+
|
68
|
+
|
69
|
+
## -------------- sub_md1_default -------------------------
|
70
|
+
set _wave_session_group_sub_md1_default sub_md1_default
|
71
|
+
# set _wave_session_group_sub_md1_default [gui_sg_generate_new_name -seed sub_md1_default]
|
72
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md1_default"]} {
|
73
|
+
set _wave_session_group_sub_md1_default [gui_sg_generate_new_name]
|
74
|
+
}
|
75
|
+
set Group2_sub_md1_default "$_wave_session_group_sub_md1_default"
|
76
|
+
|
77
|
+
## 添加信号到 group
|
78
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_default" { {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.cnt} {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.axis_out} {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.enable} }
|
79
|
+
## ============== sub_md1_default =========================
|
80
|
+
|
81
|
+
|
82
|
+
## -------------- sub_md1_inner -------------------------
|
83
|
+
set _wave_session_group_sub_md1_inner sub_md1_inner
|
84
|
+
# set _wave_session_group_sub_md1_inner [gui_sg_generate_new_name -seed sub_md1_inner]
|
85
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md1_inner"]} {
|
86
|
+
set _wave_session_group_sub_md1_inner [gui_sg_generate_new_name]
|
87
|
+
}
|
88
|
+
set Group2_sub_md1_inner "$_wave_session_group_sub_md1_inner"
|
89
|
+
|
90
|
+
## 添加信号到 group
|
91
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_inner" { }
|
92
|
+
## ============== sub_md1_inner =========================
|
93
|
+
|
94
|
+
|
95
|
+
## -------------- sub_md1_inner.inter_tf -------------------------
|
96
|
+
## set _wave_session_group_sub_md1_inner_inter_tf Group1
|
97
|
+
## set _wave_session_group_sub_md1_inner_inter_tf [gui_sg_generate_new_name -seed inter_tf -parent $_wave_session_group_sub_md1_inner ]
|
98
|
+
|
99
|
+
set _wave_session_group_sub_md1_inner_inter_tf $_wave_session_group_sub_md1_inner|
|
100
|
+
append _wave_session_group_sub_md1_inner_inter_tf inter_tf
|
101
|
+
set sub_md1_inner|inter_tf "$_wave_session_group_sub_md1_inner_inter_tf"
|
102
|
+
|
103
|
+
# set Group2_sub_md1_inner_inter_tf "$_wave_session_group_sub_md1_inner_inter_tf"
|
104
|
+
|
105
|
+
## 添加信号到 group
|
106
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_inner_inter_tf" { {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.inter_tf} }
|
107
|
+
## ============== sub_md1_inner.inter_tf =========================
|
108
|
+
|
109
|
+
|
110
|
+
## -------------- exp_test_unit_default -------------------------
|
111
|
+
set _wave_session_group_exp_test_unit_default exp_test_unit_default
|
112
|
+
# set _wave_session_group_exp_test_unit_default [gui_sg_generate_new_name -seed exp_test_unit_default]
|
113
|
+
if {[gui_sg_is_group -name "$_wave_session_group_exp_test_unit_default"]} {
|
114
|
+
set _wave_session_group_exp_test_unit_default [gui_sg_generate_new_name]
|
115
|
+
}
|
116
|
+
set Group2_exp_test_unit_default "$_wave_session_group_exp_test_unit_default"
|
117
|
+
|
118
|
+
## 添加信号到 group
|
119
|
+
gui_sg_addsignal -group "$_wave_session_group_exp_test_unit_default" { }
|
120
|
+
## ============== exp_test_unit_default =========================
|
121
|
+
|
122
|
+
|
123
|
+
## -------------- exp_test_unit_default.axis_data_inf -------------------------
|
124
|
+
## set _wave_session_group_exp_test_unit_default_axis_data_inf Group1
|
125
|
+
## set _wave_session_group_exp_test_unit_default_axis_data_inf [gui_sg_generate_new_name -seed axis_data_inf -parent $_wave_session_group_exp_test_unit_default ]
|
126
|
+
|
127
|
+
set _wave_session_group_exp_test_unit_default_axis_data_inf $_wave_session_group_exp_test_unit_default|
|
128
|
+
append _wave_session_group_exp_test_unit_default_axis_data_inf axis_data_inf
|
129
|
+
set exp_test_unit_default|axis_data_inf "$_wave_session_group_exp_test_unit_default_axis_data_inf"
|
130
|
+
|
131
|
+
# set Group2_exp_test_unit_default_axis_data_inf "$_wave_session_group_exp_test_unit_default_axis_data_inf"
|
132
|
+
|
133
|
+
## 添加信号到 group
|
134
|
+
gui_sg_addsignal -group "$_wave_session_group_exp_test_unit_default_axis_data_inf" { {Sim:tb_exp_test_unit.rtl_top.axis_data_inf} }
|
135
|
+
## ============== exp_test_unit_default.axis_data_inf =========================
|
136
|
+
|
15
137
|
|
16
138
|
## 创建波形窗口
|
17
139
|
if {![info exists useOldWindow]} {
|
@@ -40,7 +162,33 @@ gui_wv_zoom_timerange -id ${Wave.3} 0 1000000000
|
|
40
162
|
## gui_list_add_group -id ${Wave.3} -after ${Group2} [list ${Group2|tx_inf}]
|
41
163
|
## gui_list_expand -id ${Wave.3} tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.ctrl_tap_inf
|
42
164
|
## === [add_signal_wave] === ##
|
43
|
-
|
165
|
+
## -------------- Group2_sub_md0_logic -------------------------
|
166
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_logic}]
|
167
|
+
## ============== Group2_sub_md0_logic =========================
|
168
|
+
## -------------- Group2_sub_md0_interface -------------------------
|
169
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_interface}]
|
170
|
+
## ============== Group2_sub_md0_interface =========================
|
171
|
+
## -------------- Group2_sub_md0_default -------------------------
|
172
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_default}]
|
173
|
+
## ============== Group2_sub_md0_default =========================
|
174
|
+
## -------------- sub_md0_default|inter_tf -------------------------
|
175
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${sub_md0_default|inter_tf}]
|
176
|
+
## ============== sub_md0_default|inter_tf =========================
|
177
|
+
## -------------- Group2_sub_md1_default -------------------------
|
178
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md1_default}]
|
179
|
+
## ============== Group2_sub_md1_default =========================
|
180
|
+
## -------------- Group2_sub_md1_inner -------------------------
|
181
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md1_inner}]
|
182
|
+
## ============== Group2_sub_md1_inner =========================
|
183
|
+
## -------------- sub_md1_inner|inter_tf -------------------------
|
184
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${sub_md1_inner|inter_tf}]
|
185
|
+
## ============== sub_md1_inner|inter_tf =========================
|
186
|
+
## -------------- Group2_exp_test_unit_default -------------------------
|
187
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_exp_test_unit_default}]
|
188
|
+
## ============== Group2_exp_test_unit_default =========================
|
189
|
+
## -------------- exp_test_unit_default|axis_data_inf -------------------------
|
190
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${exp_test_unit_default|axis_data_inf}]
|
191
|
+
## ============== exp_test_unit_default|axis_data_inf =========================
|
44
192
|
|
45
193
|
gui_seek_criteria -id ${Wave.3} {Any Edge}
|
46
194
|
|
@@ -57,7 +205,12 @@ gui_list_set_filter -id ${Wave.3} -list { {Buffer 1} {Input 1} {Others 1} {Linka
|
|
57
205
|
gui_list_set_filter -id ${Wave.3} -text {*}
|
58
206
|
##gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2} -position in
|
59
207
|
## === [add_bar] === ##
|
60
|
-
|
208
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_logic} -position in
|
209
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_interface} -position in
|
210
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_default} -position in
|
211
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md1_default} -position in
|
212
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md1_inner} -position in
|
213
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_exp_test_unit_default} -position in
|
61
214
|
|
62
215
|
gui_marker_move -id ${Wave.3} {C1} 560248001
|
63
216
|
gui_view_scroll -id ${Wave.3} -vertical -set 35
|
@@ -5,10 +5,11 @@ _______________________________________
|
|
5
5
|
descript:
|
6
6
|
author : Cook.Darwin
|
7
7
|
Version: VERA.0.0
|
8
|
-
created: 2021-03-
|
8
|
+
created: 2021-03-21 23:54:11 +0800
|
9
9
|
madified:
|
10
10
|
***********************************************/
|
11
11
|
`timescale 1ns/1ps
|
12
|
+
`timescale 1ns/1ps
|
12
13
|
|
13
14
|
module tb_test_top();
|
14
15
|
//==========================================================================
|
@@ -0,0 +1,29 @@
|
|
1
|
+
/**********************************************
|
2
|
+
_______________________________________
|
3
|
+
___________ Cook Darwin __________
|
4
|
+
_______________________________________
|
5
|
+
descript:
|
6
|
+
author : Cook.Darwin
|
7
|
+
Version: VERA.0.0
|
8
|
+
created: 2021-03-21 23:50:09 +0800
|
9
|
+
madified:
|
10
|
+
***********************************************/
|
11
|
+
`timescale 1ns/1ps
|
12
|
+
|
13
|
+
module tb_test_top_sim();
|
14
|
+
//==========================================================================
|
15
|
+
//-------- define ----------------------------------------------------------
|
16
|
+
string test_unit_region;
|
17
|
+
logic [0-1:0] unit_pass_u ;
|
18
|
+
logic [0-1:0] unit_pass_d ;
|
19
|
+
|
20
|
+
//==========================================================================
|
21
|
+
//-------- instance --------------------------------------------------------
|
22
|
+
test_top_sim rtl_top(
|
23
|
+
/* input clock */.sys_clock ( ),
|
24
|
+
/* output */.odata ( )
|
25
|
+
);
|
26
|
+
//==========================================================================
|
27
|
+
//-------- expression ------------------------------------------------------
|
28
|
+
|
29
|
+
endmodule
|
@@ -12,6 +12,128 @@ gui_set_time_units 1ps
|
|
12
12
|
## gui_sg_addsignal -group "$_wave_session_group" { {Sim:tb_Mammo_TCP_sim.g1_test_mac_1g_inst.test_fpga_version_inst.ctrl_udp_rd_version} {Sim:tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.to_ctrl_tap_in_inf} {Sim:tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.ctrl_tap_inf} {Sim:tb_Mammo_TCP_sim.g1_test_mac_1g_inst.tcp_udp_proto_workshop_1G_inst.genblk1[0].tcp_data_stack_top_inst.client_port} }
|
13
13
|
## ==== [add_signal] ===== ##
|
14
14
|
|
15
|
+
## -------------- sub_md0_logic -------------------------
|
16
|
+
set _wave_session_group_sub_md0_logic sub_md0_logic
|
17
|
+
# set _wave_session_group_sub_md0_logic [gui_sg_generate_new_name -seed sub_md0_logic]
|
18
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_logic"]} {
|
19
|
+
set _wave_session_group_sub_md0_logic [gui_sg_generate_new_name]
|
20
|
+
}
|
21
|
+
set Group2_sub_md0_logic "$_wave_session_group_sub_md0_logic"
|
22
|
+
|
23
|
+
## 添加信号到 group
|
24
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_logic" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.cnt} }
|
25
|
+
## ============== sub_md0_logic =========================
|
26
|
+
|
27
|
+
|
28
|
+
## -------------- sub_md0_interface -------------------------
|
29
|
+
set _wave_session_group_sub_md0_interface sub_md0_interface
|
30
|
+
# set _wave_session_group_sub_md0_interface [gui_sg_generate_new_name -seed sub_md0_interface]
|
31
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_interface"]} {
|
32
|
+
set _wave_session_group_sub_md0_interface [gui_sg_generate_new_name]
|
33
|
+
}
|
34
|
+
set Group2_sub_md0_interface "$_wave_session_group_sub_md0_interface"
|
35
|
+
|
36
|
+
## 添加信号到 group
|
37
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_interface" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.axis_in} }
|
38
|
+
## ============== sub_md0_interface =========================
|
39
|
+
|
40
|
+
|
41
|
+
## -------------- sub_md0_default -------------------------
|
42
|
+
set _wave_session_group_sub_md0_default sub_md0_default
|
43
|
+
# set _wave_session_group_sub_md0_default [gui_sg_generate_new_name -seed sub_md0_default]
|
44
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md0_default"]} {
|
45
|
+
set _wave_session_group_sub_md0_default [gui_sg_generate_new_name]
|
46
|
+
}
|
47
|
+
set Group2_sub_md0_default "$_wave_session_group_sub_md0_default"
|
48
|
+
|
49
|
+
## 添加信号到 group
|
50
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_default" { }
|
51
|
+
## ============== sub_md0_default =========================
|
52
|
+
|
53
|
+
|
54
|
+
## -------------- sub_md0_default.inter_tf -------------------------
|
55
|
+
## set _wave_session_group_sub_md0_default_inter_tf Group1
|
56
|
+
## set _wave_session_group_sub_md0_default_inter_tf [gui_sg_generate_new_name -seed inter_tf -parent $_wave_session_group_sub_md0_default ]
|
57
|
+
|
58
|
+
set _wave_session_group_sub_md0_default_inter_tf $_wave_session_group_sub_md0_default|
|
59
|
+
append _wave_session_group_sub_md0_default_inter_tf inter_tf
|
60
|
+
set sub_md0_default|inter_tf "$_wave_session_group_sub_md0_default_inter_tf"
|
61
|
+
|
62
|
+
# set Group2_sub_md0_default_inter_tf "$_wave_session_group_sub_md0_default_inter_tf"
|
63
|
+
|
64
|
+
## 添加信号到 group
|
65
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md0_default_inter_tf" { {Sim:tb_exp_test_unit.rtl_top.sub_md0_inst.inter_tf} }
|
66
|
+
## ============== sub_md0_default.inter_tf =========================
|
67
|
+
|
68
|
+
|
69
|
+
## -------------- sub_md1_default -------------------------
|
70
|
+
set _wave_session_group_sub_md1_default sub_md1_default
|
71
|
+
# set _wave_session_group_sub_md1_default [gui_sg_generate_new_name -seed sub_md1_default]
|
72
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md1_default"]} {
|
73
|
+
set _wave_session_group_sub_md1_default [gui_sg_generate_new_name]
|
74
|
+
}
|
75
|
+
set Group2_sub_md1_default "$_wave_session_group_sub_md1_default"
|
76
|
+
|
77
|
+
## 添加信号到 group
|
78
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_default" { {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.cnt} {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.axis_out} {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.enable} }
|
79
|
+
## ============== sub_md1_default =========================
|
80
|
+
|
81
|
+
|
82
|
+
## -------------- sub_md1_inner -------------------------
|
83
|
+
set _wave_session_group_sub_md1_inner sub_md1_inner
|
84
|
+
# set _wave_session_group_sub_md1_inner [gui_sg_generate_new_name -seed sub_md1_inner]
|
85
|
+
if {[gui_sg_is_group -name "$_wave_session_group_sub_md1_inner"]} {
|
86
|
+
set _wave_session_group_sub_md1_inner [gui_sg_generate_new_name]
|
87
|
+
}
|
88
|
+
set Group2_sub_md1_inner "$_wave_session_group_sub_md1_inner"
|
89
|
+
|
90
|
+
## 添加信号到 group
|
91
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_inner" { }
|
92
|
+
## ============== sub_md1_inner =========================
|
93
|
+
|
94
|
+
|
95
|
+
## -------------- sub_md1_inner.inter_tf -------------------------
|
96
|
+
## set _wave_session_group_sub_md1_inner_inter_tf Group1
|
97
|
+
## set _wave_session_group_sub_md1_inner_inter_tf [gui_sg_generate_new_name -seed inter_tf -parent $_wave_session_group_sub_md1_inner ]
|
98
|
+
|
99
|
+
set _wave_session_group_sub_md1_inner_inter_tf $_wave_session_group_sub_md1_inner|
|
100
|
+
append _wave_session_group_sub_md1_inner_inter_tf inter_tf
|
101
|
+
set sub_md1_inner|inter_tf "$_wave_session_group_sub_md1_inner_inter_tf"
|
102
|
+
|
103
|
+
# set Group2_sub_md1_inner_inter_tf "$_wave_session_group_sub_md1_inner_inter_tf"
|
104
|
+
|
105
|
+
## 添加信号到 group
|
106
|
+
gui_sg_addsignal -group "$_wave_session_group_sub_md1_inner_inter_tf" { {Sim:tb_exp_test_unit.rtl_top.sub_md1_inst.inter_tf} }
|
107
|
+
## ============== sub_md1_inner.inter_tf =========================
|
108
|
+
|
109
|
+
|
110
|
+
## -------------- exp_test_unit_default -------------------------
|
111
|
+
set _wave_session_group_exp_test_unit_default exp_test_unit_default
|
112
|
+
# set _wave_session_group_exp_test_unit_default [gui_sg_generate_new_name -seed exp_test_unit_default]
|
113
|
+
if {[gui_sg_is_group -name "$_wave_session_group_exp_test_unit_default"]} {
|
114
|
+
set _wave_session_group_exp_test_unit_default [gui_sg_generate_new_name]
|
115
|
+
}
|
116
|
+
set Group2_exp_test_unit_default "$_wave_session_group_exp_test_unit_default"
|
117
|
+
|
118
|
+
## 添加信号到 group
|
119
|
+
gui_sg_addsignal -group "$_wave_session_group_exp_test_unit_default" { }
|
120
|
+
## ============== exp_test_unit_default =========================
|
121
|
+
|
122
|
+
|
123
|
+
## -------------- exp_test_unit_default.axis_data_inf -------------------------
|
124
|
+
## set _wave_session_group_exp_test_unit_default_axis_data_inf Group1
|
125
|
+
## set _wave_session_group_exp_test_unit_default_axis_data_inf [gui_sg_generate_new_name -seed axis_data_inf -parent $_wave_session_group_exp_test_unit_default ]
|
126
|
+
|
127
|
+
set _wave_session_group_exp_test_unit_default_axis_data_inf $_wave_session_group_exp_test_unit_default|
|
128
|
+
append _wave_session_group_exp_test_unit_default_axis_data_inf axis_data_inf
|
129
|
+
set exp_test_unit_default|axis_data_inf "$_wave_session_group_exp_test_unit_default_axis_data_inf"
|
130
|
+
|
131
|
+
# set Group2_exp_test_unit_default_axis_data_inf "$_wave_session_group_exp_test_unit_default_axis_data_inf"
|
132
|
+
|
133
|
+
## 添加信号到 group
|
134
|
+
gui_sg_addsignal -group "$_wave_session_group_exp_test_unit_default_axis_data_inf" { {Sim:tb_exp_test_unit.rtl_top.axis_data_inf} }
|
135
|
+
## ============== exp_test_unit_default.axis_data_inf =========================
|
136
|
+
|
15
137
|
|
16
138
|
## 创建波形窗口
|
17
139
|
if {![info exists useOldWindow]} {
|
@@ -40,7 +162,33 @@ gui_wv_zoom_timerange -id ${Wave.3} 0 1000000000
|
|
40
162
|
## gui_list_add_group -id ${Wave.3} -after ${Group2} [list ${Group2|tx_inf}]
|
41
163
|
## gui_list_expand -id ${Wave.3} tb_Mammo_TCP_sim.rtl_top.fpga_version_verb.ctrl_tap_inf
|
42
164
|
## === [add_signal_wave] === ##
|
43
|
-
|
165
|
+
## -------------- Group2_sub_md0_logic -------------------------
|
166
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_logic}]
|
167
|
+
## ============== Group2_sub_md0_logic =========================
|
168
|
+
## -------------- Group2_sub_md0_interface -------------------------
|
169
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_interface}]
|
170
|
+
## ============== Group2_sub_md0_interface =========================
|
171
|
+
## -------------- Group2_sub_md0_default -------------------------
|
172
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md0_default}]
|
173
|
+
## ============== Group2_sub_md0_default =========================
|
174
|
+
## -------------- sub_md0_default|inter_tf -------------------------
|
175
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${sub_md0_default|inter_tf}]
|
176
|
+
## ============== sub_md0_default|inter_tf =========================
|
177
|
+
## -------------- Group2_sub_md1_default -------------------------
|
178
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md1_default}]
|
179
|
+
## ============== Group2_sub_md1_default =========================
|
180
|
+
## -------------- Group2_sub_md1_inner -------------------------
|
181
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_sub_md1_inner}]
|
182
|
+
## ============== Group2_sub_md1_inner =========================
|
183
|
+
## -------------- sub_md1_inner|inter_tf -------------------------
|
184
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${sub_md1_inner|inter_tf}]
|
185
|
+
## ============== sub_md1_inner|inter_tf =========================
|
186
|
+
## -------------- Group2_exp_test_unit_default -------------------------
|
187
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${Group2_exp_test_unit_default}]
|
188
|
+
## ============== Group2_exp_test_unit_default =========================
|
189
|
+
## -------------- exp_test_unit_default|axis_data_inf -------------------------
|
190
|
+
gui_list_add_group -id ${Wave.3} -after {New Group} [list ${exp_test_unit_default|axis_data_inf}]
|
191
|
+
## ============== exp_test_unit_default|axis_data_inf =========================
|
44
192
|
|
45
193
|
gui_seek_criteria -id ${Wave.3} {Any Edge}
|
46
194
|
|
@@ -57,7 +205,12 @@ gui_list_set_filter -id ${Wave.3} -list { {Buffer 1} {Input 1} {Others 1} {Linka
|
|
57
205
|
gui_list_set_filter -id ${Wave.3} -text {*}
|
58
206
|
##gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2} -position in
|
59
207
|
## === [add_bar] === ##
|
60
|
-
|
208
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_logic} -position in
|
209
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_interface} -position in
|
210
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md0_default} -position in
|
211
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md1_default} -position in
|
212
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_sub_md1_inner} -position in
|
213
|
+
gui_list_set_insertion_bar -id ${Wave.3} -group ${Group2_exp_test_unit_default} -position in
|
61
214
|
|
62
215
|
gui_marker_move -id ${Wave.3} {C1} 560248001
|
63
216
|
gui_view_scroll -id ${Wave.3} -vertical -set 35
|
@@ -5,10 +5,11 @@ _______________________________________
|
|
5
5
|
descript:
|
6
6
|
author : Cook.Darwin
|
7
7
|
Version: VERA.0.0
|
8
|
-
created:
|
8
|
+
created: 2021-03-21 23:51:36 +0800
|
9
9
|
madified:
|
10
10
|
***********************************************/
|
11
11
|
`timescale 1ns/1ps
|
12
|
+
`timescale 1ns/1ps
|
12
13
|
|
13
14
|
module tb_test_tttop_sim();
|
14
15
|
//==========================================================================
|
@@ -493,7 +493,7 @@ class ItegrationVerb
|
|
493
493
|
def self.test_unit_inst(&filter_block)
|
494
494
|
# blocks = self.instance_variable_get("@_inst_test_unit_blocks_")
|
495
495
|
# blocks = instance_variable_get("@_inst_test_unit_blocks_") || []
|
496
|
-
blocks = @@_inst_test_unit_blocks_
|
496
|
+
blocks = @@_inst_test_unit_blocks_ || []
|
497
497
|
return unless blocks
|
498
498
|
return if blocks.empty?
|
499
499
|
return unless TopModule.sim
|
@@ -770,7 +770,7 @@ class ItegrationVerb
|
|
770
770
|
end
|
771
771
|
|
772
772
|
## 添加测试用例
|
773
|
-
|
773
|
+
@@_inst_test_unit_blocks_ = []
|
774
774
|
def self.def_test_unit(name,path,&block)
|
775
775
|
# @@_inst_test_unit_blocks_ = instance_variable_get("@_inst_test_unit_blocks_")
|
776
776
|
@@_inst_test_unit_blocks_ ||= []
|
@@ -52,7 +52,7 @@ class SdlModule
|
|
52
52
|
pre_inst_stack_call
|
53
53
|
@out_sv_path ||= '..\..\tdl\test_sdlmodule'
|
54
54
|
if File.exist?(File.join(@out_sv_path,"#{module_name}.sv"))
|
55
|
-
old_str = File.open(File.join(@out_sv_path,"#{module_name}.sv")).read.
|
55
|
+
old_str = File.open(File.join(@out_sv_path,"#{module_name}.sv")).read.sub(/\/\*.*?\*\//m,"").gsub(/\/\/.*/,"").sub(/^`timescale .*/,"").strip
|
56
56
|
|
57
57
|
|
58
58
|
head_str,body_str = build_module_verb(ex_param:ex_param,ex_port:ex_port,ex_up_code:ex_up_code,ex_down_code:ex_down_code)
|
metadata
CHANGED
@@ -1,7 +1,7 @@
|
|
1
1
|
--- !ruby/object:Gem::Specification
|
2
2
|
name: axi_tdl
|
3
3
|
version: !ruby/object:Gem::Version
|
4
|
-
version: 0.1.
|
4
|
+
version: 0.1.1
|
5
5
|
platform: ruby
|
6
6
|
authors:
|
7
7
|
- Cook.Darwin
|
@@ -64,7 +64,6 @@ files:
|
|
64
64
|
- ".github/workflows/ruby.yml"
|
65
65
|
- ".gitignore"
|
66
66
|
- ".travis.yml"
|
67
|
-
- CODE_OF_CONDUCT.md
|
68
67
|
- Gemfile
|
69
68
|
- LICENSE
|
70
69
|
- README.EN.md
|
@@ -1120,11 +1119,13 @@ files:
|
|
1120
1119
|
- lib/tdl/examples/11_test_unit/exp_test_unit.rb
|
1121
1120
|
- lib/tdl/examples/11_test_unit/exp_test_unit.sv
|
1122
1121
|
- lib/tdl/examples/11_test_unit/exp_test_unit_constraints.xdc
|
1122
|
+
- lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv
|
1123
1123
|
- lib/tdl/examples/11_test_unit/modules/sub_md0.rb
|
1124
1124
|
- lib/tdl/examples/11_test_unit/modules/sub_md0.sv
|
1125
1125
|
- lib/tdl/examples/11_test_unit/modules/sub_md1.rb
|
1126
1126
|
- lib/tdl/examples/11_test_unit/modules/sub_md1.sv
|
1127
1127
|
- lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv
|
1128
|
+
- lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv
|
1128
1129
|
- lib/tdl/examples/11_test_unit/tu0.sv
|
1129
1130
|
- lib/tdl/examples/11_test_unit/tu1.sv
|
1130
1131
|
- lib/tdl/examples/1_define_module/example1.rb
|
@@ -1196,8 +1197,10 @@ files:
|
|
1196
1197
|
- lib/tdl/examples/8_top_module/example.rb
|
1197
1198
|
- lib/tdl/examples/8_top_module/pins.yml
|
1198
1199
|
- lib/tdl/examples/8_top_module/tb_test_top.sv
|
1200
|
+
- lib/tdl/examples/8_top_module/tb_test_top_sim.sv
|
1199
1201
|
- lib/tdl/examples/8_top_module/test_top.sv
|
1200
1202
|
- lib/tdl/examples/8_top_module/test_top_constraints.xdc
|
1203
|
+
- lib/tdl/examples/8_top_module/test_top_sim.sv
|
1201
1204
|
- lib/tdl/examples/9_itegration/A_itgt/a_test_md.sv
|
1202
1205
|
- lib/tdl/examples/9_itegration/A_itgt/a_test_module.rb
|
1203
1206
|
- lib/tdl/examples/9_itegration/A_itgt/itgt_module_a_block.rb
|
data/CODE_OF_CONDUCT.md
DELETED
@@ -1,74 +0,0 @@
|
|
1
|
-
# Contributor Covenant Code of Conduct
|
2
|
-
|
3
|
-
## Our Pledge
|
4
|
-
|
5
|
-
In the interest of fostering an open and welcoming environment, we as
|
6
|
-
contributors and maintainers pledge to making participation in our project and
|
7
|
-
our community a harassment-free experience for everyone, regardless of age, body
|
8
|
-
size, disability, ethnicity, gender identity and expression, level of experience,
|
9
|
-
nationality, personal appearance, race, religion, or sexual identity and
|
10
|
-
orientation.
|
11
|
-
|
12
|
-
## Our Standards
|
13
|
-
|
14
|
-
Examples of behavior that contributes to creating a positive environment
|
15
|
-
include:
|
16
|
-
|
17
|
-
* Using welcoming and inclusive language
|
18
|
-
* Being respectful of differing viewpoints and experiences
|
19
|
-
* Gracefully accepting constructive criticism
|
20
|
-
* Focusing on what is best for the community
|
21
|
-
* Showing empathy towards other community members
|
22
|
-
|
23
|
-
Examples of unacceptable behavior by participants include:
|
24
|
-
|
25
|
-
* The use of sexualized language or imagery and unwelcome sexual attention or
|
26
|
-
advances
|
27
|
-
* Trolling, insulting/derogatory comments, and personal or political attacks
|
28
|
-
* Public or private harassment
|
29
|
-
* Publishing others' private information, such as a physical or electronic
|
30
|
-
address, without explicit permission
|
31
|
-
* Other conduct which could reasonably be considered inappropriate in a
|
32
|
-
professional setting
|
33
|
-
|
34
|
-
## Our Responsibilities
|
35
|
-
|
36
|
-
Project maintainers are responsible for clarifying the standards of acceptable
|
37
|
-
behavior and are expected to take appropriate and fair corrective action in
|
38
|
-
response to any instances of unacceptable behavior.
|
39
|
-
|
40
|
-
Project maintainers have the right and responsibility to remove, edit, or
|
41
|
-
reject comments, commits, code, wiki edits, issues, and other contributions
|
42
|
-
that are not aligned to this Code of Conduct, or to ban temporarily or
|
43
|
-
permanently any contributor for other behaviors that they deem inappropriate,
|
44
|
-
threatening, offensive, or harmful.
|
45
|
-
|
46
|
-
## Scope
|
47
|
-
|
48
|
-
This Code of Conduct applies both within project spaces and in public spaces
|
49
|
-
when an individual is representing the project or its community. Examples of
|
50
|
-
representing a project or community include using an official project e-mail
|
51
|
-
address, posting via an official social media account, or acting as an appointed
|
52
|
-
representative at an online or offline event. Representation of a project may be
|
53
|
-
further defined and clarified by project maintainers.
|
54
|
-
|
55
|
-
## Enforcement
|
56
|
-
|
57
|
-
Instances of abusive, harassing, or otherwise unacceptable behavior may be
|
58
|
-
reported by contacting the project team at cook_darwin@hotmail.com. All
|
59
|
-
complaints will be reviewed and investigated and will result in a response that
|
60
|
-
is deemed necessary and appropriate to the circumstances. The project team is
|
61
|
-
obligated to maintain confidentiality with regard to the reporter of an incident.
|
62
|
-
Further details of specific enforcement policies may be posted separately.
|
63
|
-
|
64
|
-
Project maintainers who do not follow or enforce the Code of Conduct in good
|
65
|
-
faith may face temporary or permanent repercussions as determined by other
|
66
|
-
members of the project's leadership.
|
67
|
-
|
68
|
-
## Attribution
|
69
|
-
|
70
|
-
This Code of Conduct is adapted from the [Contributor Covenant][homepage], version 1.4,
|
71
|
-
available at [http://contributor-covenant.org/version/1/4][version]
|
72
|
-
|
73
|
-
[homepage]: http://contributor-covenant.org
|
74
|
-
[version]: http://contributor-covenant.org/version/1/4/
|