asciidoctor-diagram 2.1.2 → 2.2.2

Sign up to get free protection for your applications and to get access to all the features.
Files changed (113) hide show
  1. checksums.yaml +4 -4
  2. data/CHANGELOG.adoc +32 -0
  3. data/docs/antora.yml +1 -1
  4. data/docs/modules/ROOT/pages/index.adoc +1 -1
  5. data/docs/modules/ROOT/partials/advanced.adoc +54 -9
  6. data/docs/modules/ROOT/partials/create_diagram.adoc +45 -43
  7. data/docs/modules/ROOT/partials/uris.adoc +3 -2
  8. data/examples/features.adoc +25 -0
  9. data/lib/asciidoctor-diagram/a2s/extension.rb +4 -0
  10. data/lib/asciidoctor-diagram/a2s.rb +1 -0
  11. data/lib/asciidoctor-diagram/barcode/converter.rb +157 -0
  12. data/lib/asciidoctor-diagram/barcode/dependencies.rb +10 -0
  13. data/lib/asciidoctor-diagram/barcode/extension.rb +47 -0
  14. data/lib/asciidoctor-diagram/barcode/svg_outputter.rb +12 -0
  15. data/lib/asciidoctor-diagram/barcode.rb +11 -0
  16. data/lib/asciidoctor-diagram/blockdiag/extension.rb +5 -0
  17. data/lib/asciidoctor-diagram/blockdiag.rb +1 -0
  18. data/lib/asciidoctor-diagram/bpmn/extension.rb +4 -0
  19. data/lib/asciidoctor-diagram/bpmn.rb +1 -0
  20. data/lib/asciidoctor-diagram/bytefield/extension.rb +4 -0
  21. data/lib/asciidoctor-diagram/bytefield.rb +1 -0
  22. data/lib/asciidoctor-diagram/diagram_processor.rb +64 -6
  23. data/lib/asciidoctor-diagram/diagram_source.rb +16 -0
  24. data/lib/asciidoctor-diagram/diagrams/extension.rb +4 -0
  25. data/lib/asciidoctor-diagram/diagrams.rb +1 -0
  26. data/lib/asciidoctor-diagram/ditaa/converter.rb +2 -2
  27. data/lib/asciidoctor-diagram/ditaa/ditaa-1.3.21.jar +0 -0
  28. data/lib/asciidoctor-diagram/ditaa/extension.rb +4 -0
  29. data/lib/asciidoctor-diagram/ditaa.rb +1 -0
  30. data/lib/asciidoctor-diagram/dpic/extension.rb +4 -0
  31. data/lib/asciidoctor-diagram/dpic.rb +1 -0
  32. data/lib/asciidoctor-diagram/erd/extension.rb +4 -0
  33. data/lib/asciidoctor-diagram/erd.rb +1 -0
  34. data/lib/asciidoctor-diagram/gnuplot/extension.rb +4 -0
  35. data/lib/asciidoctor-diagram/gnuplot.rb +1 -0
  36. data/lib/asciidoctor-diagram/graphviz/extension.rb +4 -0
  37. data/lib/asciidoctor-diagram/graphviz.rb +1 -0
  38. data/lib/asciidoctor-diagram/graphviz_py/converter.rb +42 -0
  39. data/lib/asciidoctor-diagram/graphviz_py/extension.rb +18 -0
  40. data/lib/asciidoctor-diagram/graphviz_py.rb +8 -0
  41. data/lib/asciidoctor-diagram/lilypond/extension.rb +4 -0
  42. data/lib/asciidoctor-diagram/lilypond.rb +1 -0
  43. data/lib/asciidoctor-diagram/meme/extension.rb +14 -16
  44. data/lib/asciidoctor-diagram/meme.rb +1 -0
  45. data/lib/asciidoctor-diagram/mermaid/extension.rb +4 -0
  46. data/lib/asciidoctor-diagram/mermaid.rb +1 -0
  47. data/lib/asciidoctor-diagram/msc/extension.rb +4 -0
  48. data/lib/asciidoctor-diagram/msc.rb +1 -0
  49. data/lib/asciidoctor-diagram/nomnoml/extension.rb +4 -0
  50. data/lib/asciidoctor-diagram/nomnoml.rb +1 -0
  51. data/lib/asciidoctor-diagram/pikchr/extension.rb +4 -0
  52. data/lib/asciidoctor-diagram/pikchr.rb +1 -0
  53. data/lib/asciidoctor-diagram/plantuml/converter.rb +25 -16
  54. data/lib/asciidoctor-diagram/plantuml/extension.rb +8 -0
  55. data/lib/asciidoctor-diagram/plantuml/plantuml-1.3.21.jar +0 -0
  56. data/lib/asciidoctor-diagram/plantuml.rb +1 -0
  57. data/lib/asciidoctor-diagram/salt.rb +1 -0
  58. data/lib/asciidoctor-diagram/shaape/extension.rb +4 -0
  59. data/lib/asciidoctor-diagram/shaape.rb +1 -0
  60. data/lib/asciidoctor-diagram/smcat/extension.rb +4 -0
  61. data/lib/asciidoctor-diagram/smcat.rb +1 -0
  62. data/lib/asciidoctor-diagram/svgbob/converter.rb +1 -1
  63. data/lib/asciidoctor-diagram/svgbob/extension.rb +4 -0
  64. data/lib/asciidoctor-diagram/svgbob.rb +1 -0
  65. data/lib/asciidoctor-diagram/symbolator/extension.rb +4 -0
  66. data/lib/asciidoctor-diagram/symbolator.rb +1 -0
  67. data/lib/asciidoctor-diagram/syntrax/converter.rb +1 -1
  68. data/lib/asciidoctor-diagram/syntrax/extension.rb +4 -0
  69. data/lib/asciidoctor-diagram/syntrax.rb +1 -0
  70. data/lib/asciidoctor-diagram/tikz/extension.rb +4 -0
  71. data/lib/asciidoctor-diagram/tikz.rb +1 -0
  72. data/lib/asciidoctor-diagram/umlet/extension.rb +4 -0
  73. data/lib/asciidoctor-diagram/umlet.rb +1 -0
  74. data/lib/asciidoctor-diagram/util/server-1.3.21.jar +0 -0
  75. data/lib/asciidoctor-diagram/vega/extension.rb +4 -0
  76. data/lib/asciidoctor-diagram/vega.rb +2 -0
  77. data/lib/asciidoctor-diagram/version.rb +1 -1
  78. data/lib/asciidoctor-diagram/wavedrom/extension.rb +4 -0
  79. data/lib/asciidoctor-diagram/wavedrom.rb +1 -0
  80. data/lib/asciidoctor-diagram.rb +2 -0
  81. data/spec/a2s_spec.rb +4 -0
  82. data/spec/barcode_spec.rb +176 -0
  83. data/spec/blockdiag_spec.rb +4 -0
  84. data/spec/bpmn_spec.rb +4 -0
  85. data/spec/bytefield_spec.rb +4 -0
  86. data/spec/diagrams_spec.rb +4 -0
  87. data/spec/ditaa_spec.rb +4 -0
  88. data/spec/dpic_spec.rb +4 -0
  89. data/spec/erd_spec.rb +4 -0
  90. data/spec/gnuplot_spec.rb +4 -0
  91. data/spec/graphviz_py_spec.rb +33 -0
  92. data/spec/graphviz_spec.rb +4 -0
  93. data/spec/lilypond_spec.rb +6 -2
  94. data/spec/mermaid_spec.rb +4 -0
  95. data/spec/msc_spec.rb +6 -2
  96. data/spec/nomnoml_spec.rb +4 -0
  97. data/spec/pikchr_spec.rb +4 -0
  98. data/spec/plantuml_spec.rb +67 -1
  99. data/spec/shaape_spec.rb +4 -0
  100. data/spec/shared_examples.rb +161 -0
  101. data/spec/smcat_spec.rb +4 -0
  102. data/spec/svgbob_spec.rb +4 -0
  103. data/spec/symbolator_spec.rb +6 -2
  104. data/spec/syntrax_spec.rb +6 -2
  105. data/spec/test_helper.rb +3 -1
  106. data/spec/tikz_spec.rb +4 -0
  107. data/spec/umlet_spec.rb +3 -0
  108. data/spec/vega_spec.rb +5 -0
  109. data/spec/wavedrom_spec.rb +6 -2
  110. metadata +23 -11
  111. data/lib/asciidoctor-diagram/ditaa/ditaa-1.3.20.jar +0 -0
  112. data/lib/asciidoctor-diagram/plantuml/plantuml-1.3.20.jar +0 -0
  113. data/lib/asciidoctor-diagram/util/server-1.3.20.jar +0 -0
@@ -44,7 +44,7 @@ module Asciidoctor
44
44
  OPTIONS[option].call(flags, value)
45
45
  end
46
46
 
47
- generate_stdin(source.find_command('svgbob'), format.to_s, source.to_s) do |tool_path, output_path|
47
+ generate_stdin(source.find_command('svgbob', :alt_cmds => ['svgbob_cli']), format.to_s, source.to_s) do |tool_path, output_path|
48
48
  ([tool_path, '-o', Platform.native_path(output_path)] + flags)
49
49
  end
50
50
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class SvgBobBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter SvgbobConverter
12
12
  end
13
+
14
+ class SvgBobInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter SvgbobConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'svgbob/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::SvgBobBlockProcessor, :svgbob
6
6
  block_macro Asciidoctor::Diagram::SvgBobBlockMacroProcessor, :svgbob
7
+ inline_macro Asciidoctor::Diagram::SvgBobInlineMacroProcessor, :svgbob
7
8
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class SymbolatorBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter SymbolatorConverter
12
12
  end
13
+
14
+ class SymbolatorInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter SymbolatorConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'symbolator/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::SymbolatorBlockProcessor, :symbolator
6
6
  block_macro Asciidoctor::Diagram::SymbolatorBlockMacroProcessor, :symbolator
7
+ inline_macro Asciidoctor::Diagram::SymbolatorInlineMacroProcessor, :symbolator
7
8
  end
@@ -19,7 +19,7 @@ module Asciidoctor
19
19
  :heading => source.attr('heading'),
20
20
  :scale => source.attr('scale'),
21
21
  :transparent => source.attr('transparent'),
22
- :style => source.attr('style-file')
22
+ :style => source.attr('style-file') || source.attr("#{source.diagram_type}-style", nil, true)
23
23
  }
24
24
  end
25
25
 
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class SyntraxBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter SyntraxConverter
12
12
  end
13
+
14
+ class SyntraxInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter SyntraxConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'syntrax/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::SyntraxBlockProcessor, :syntrax
6
6
  block_macro Asciidoctor::Diagram::SyntraxBlockMacroProcessor, :syntrax
7
+ inline_macro Asciidoctor::Diagram::SyntraxInlineMacroProcessor, :syntrax
7
8
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class TikZBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter TikZConverter
12
12
  end
13
+
14
+ class TikZInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter TikZConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'tikz/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::TikZBlockProcessor, :tikz
6
6
  block_macro Asciidoctor::Diagram::TikZBlockMacroProcessor, :tikz
7
+ inline_macro Asciidoctor::Diagram::TikZInlineMacroProcessor, :tikz
7
8
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class UmletBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter UmletConverter
12
12
  end
13
+
14
+ class UmletInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter UmletConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'umlet/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::UmletBlockProcessor, :umlet
6
6
  block_macro Asciidoctor::Diagram::UmletBlockMacroProcessor, :umlet
7
+ inline_macro Asciidoctor::Diagram::UmletInlineMacroProcessor, :umlet
7
8
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class VegaBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter VegaConverter
12
12
  end
13
+
14
+ class VegaInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter VegaConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,7 +4,9 @@ require_relative 'vega/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::VegaBlockProcessor, :vega
6
6
  block_macro Asciidoctor::Diagram::VegaBlockMacroProcessor, :vega
7
+ inline_macro Asciidoctor::Diagram::VegaInlineMacroProcessor, :vega
7
8
 
8
9
  block Asciidoctor::Diagram::VegaBlockProcessor, :vegalite
9
10
  block_macro Asciidoctor::Diagram::VegaBlockMacroProcessor, :vegalite
11
+ inline_macro Asciidoctor::Diagram::VegaInlineMacroProcessor, :vegalite
10
12
  end
@@ -1,5 +1,5 @@
1
1
  module Asciidoctor
2
2
  module Diagram
3
- VERSION = "2.1.2"
3
+ VERSION = "2.2.2"
4
4
  end
5
5
  end
@@ -10,5 +10,9 @@ module Asciidoctor
10
10
  class WavedromBlockMacroProcessor < DiagramBlockMacroProcessor
11
11
  use_converter WavedromConverter
12
12
  end
13
+
14
+ class WavedromInlineMacroProcessor < DiagramInlineMacroProcessor
15
+ use_converter WavedromConverter
16
+ end
13
17
  end
14
18
  end
@@ -4,4 +4,5 @@ require_relative 'wavedrom/extension'
4
4
  Asciidoctor::Extensions.register do
5
5
  block Asciidoctor::Diagram::WavedromBlockProcessor, :wavedrom
6
6
  block_macro Asciidoctor::Diagram::WavedromBlockMacroProcessor, :wavedrom
7
+ inline_macro Asciidoctor::Diagram::WavedromInlineMacroProcessor, :wavedrom
7
8
  end
@@ -1,4 +1,5 @@
1
1
  require_relative 'asciidoctor-diagram/a2s'
2
+ require_relative 'asciidoctor-diagram/barcode'
2
3
  require_relative 'asciidoctor-diagram/blockdiag'
3
4
  require_relative 'asciidoctor-diagram/bpmn'
4
5
  require_relative 'asciidoctor-diagram/bytefield'
@@ -8,6 +9,7 @@ require_relative 'asciidoctor-diagram/dpic'
8
9
  require_relative 'asciidoctor-diagram/erd'
9
10
  require_relative 'asciidoctor-diagram/gnuplot'
10
11
  require_relative 'asciidoctor-diagram/graphviz'
12
+ require_relative 'asciidoctor-diagram/graphviz_py'
11
13
  require_relative 'asciidoctor-diagram/lilypond'
12
14
  require_relative 'asciidoctor-diagram/meme'
13
15
  require_relative 'asciidoctor-diagram/mermaid'
data/spec/a2s_spec.rb CHANGED
@@ -20,6 +20,10 @@ A2S_CODE = <<-eos
20
20
  '---------------------------------------'
21
21
  eos
22
22
 
23
+ describe Asciidoctor::Diagram::AsciiToSvgInlineMacroProcessor do
24
+ include_examples "inline_macro", :a2s, A2S_CODE, [:svg]
25
+ end
26
+
23
27
  describe Asciidoctor::Diagram::AsciiToSvgBlockMacroProcessor do
24
28
  include_examples "block_macro", :a2s, A2S_CODE, [:svg]
25
29
  end
@@ -0,0 +1,176 @@
1
+ require_relative 'test_helper'
2
+
3
+ BARCODES = {
4
+ :bookland => '978-0-306-40615-7',
5
+ :codabar => 'A123456A',
6
+ :code25 => '123456',
7
+ :code25iata => '123456',
8
+ :code25interleaved => '123456',
9
+ :code39 => '123456',
10
+ :code93 => '123456',
11
+ :code128 => '123456',
12
+ :code128a => '123456',
13
+ :code128b => '123456',
14
+ :code128c => '123456',
15
+ :ean8 => '0170725',
16
+ :ean13 => '070071967072',
17
+ :gs1_128 => '(FNC1)(SP)00(SP)12345678(SP)0000000001',
18
+ :qrcode => 'http://github.com/',
19
+ :upca => '12360105707',
20
+ }
21
+
22
+ describe Asciidoctor::Diagram::BarcodeBlockProcessor do
23
+ BARCODES.each_pair do |type, code|
24
+ it "should support #{type}" do
25
+ doc = <<-eos
26
+ = Hello, barby!
27
+ Doc Writer <doc@example.com>
28
+
29
+ == First Section
30
+
31
+ [#{type},test,png,xdim=1,margin=10,height=100,foreground=darkgray,background=azure]
32
+ ----
33
+ #{code}
34
+ ----
35
+ eos
36
+
37
+ d = load_asciidoc doc
38
+ expect(d).to_not be_nil
39
+
40
+ b = d.find { |bl| bl.context == :image }
41
+ expect(b).to_not be_nil
42
+
43
+ expect(b.content_model).to eq :empty
44
+
45
+ target = b.attributes['target']
46
+ expect(target).to_not be_nil
47
+ expect(target).to match(/\.png$/)
48
+ expect(File.exist?(target)).to be true
49
+
50
+ expect(b.attributes['width']).to_not be_nil
51
+ expect(b.attributes['height']).to_not be_nil
52
+ end
53
+ end
54
+
55
+ it "should support complex QR codes" do
56
+ doc = <<-eos
57
+ = Hello, barby!
58
+ Doc Writer <doc@example.com>
59
+
60
+ == First Section
61
+
62
+ [qrcode]
63
+ ----
64
+ BEGIN:VCARD
65
+ VERSION:3.0
66
+ N:Doe;John;;;
67
+ FN:John Doe
68
+ ORG:Example.com Inc.;
69
+ TITLE:Imaginary test person
70
+ EMAIL;type=INTERNET;type=WORK;type=pref:johnDoe@example.org
71
+ TEL;type=WORK;type=pref:+1 617 555 1212
72
+ TEL;type=WORK:+1 (617) 555-1234
73
+ TEL;type=CELL:+1 781 555 1212
74
+ TEL;type=HOME:+1 202 555 1212
75
+ item1.ADR;type=WORK:;;2 Enterprise Avenue;Worktown;NY;01111;USA
76
+ item1.X-ABADR:us
77
+ item2.ADR;type=HOME;type=pref:;;3 Acacia Avenue;Hoemtown;MA;02222;USA
78
+ item2.X-ABADR:us
79
+ NOTE:John Doe has a long and varied history\, being documented on more police files that anyone else. Reports of his death are alas numerous.
80
+ item3.URL;type=pref:http\://www.example/com/doe
81
+ item3.X-ABLabel:_$!<HomePage>!$_
82
+ item4.URL:http\://www.example.com/Joe/foaf.df
83
+ item4.X-ABLabel:FOAF
84
+ item5.X-ABRELATEDNAMES;type=pref:Jane Doe
85
+ item5.X-ABLabel:_$!<Friend>!$_
86
+ CATEGORIES:Work,Test group
87
+ X-ABUID:5AD380FD-B2DE-4261-BA99-DE1D1DB52FBE\:ABPerson
88
+ END:VCARD
89
+ ----
90
+ eos
91
+
92
+ d = load_asciidoc doc
93
+ expect(d).to_not be_nil
94
+
95
+ b = d.find { |bl| bl.context == :image }
96
+ expect(b).to_not be_nil
97
+
98
+ expect(b.content_model).to eq :empty
99
+
100
+ target = b.attributes['target']
101
+ expect(target).to_not be_nil
102
+ expect(target).to match(/\.png$/)
103
+ expect(File.exist?(target)).to be true
104
+
105
+ expect(b.attributes['width']).to_not be_nil
106
+ expect(b.attributes['height']).to_not be_nil
107
+ end
108
+ end
109
+
110
+ describe Asciidoctor::Diagram::BarcodeBlockMacroProcessor do
111
+ BARCODES.each_pair do |type, code|
112
+ it "should support #{type}" do
113
+ doc = <<-eos
114
+ = Hello, barby!
115
+ Doc Writer <doc@example.com>
116
+
117
+ == First Section
118
+
119
+ #{type}::#{code}[xdim=1,margin=10,height=100,foreground=darkgray,background=azure]
120
+ eos
121
+
122
+ d = load_asciidoc doc
123
+ expect(d).to_not be_nil
124
+
125
+ b = d.find { |bl| bl.context == :image }
126
+ expect(b).to_not be_nil
127
+
128
+ expect(b.content_model).to eq :empty
129
+
130
+ target = b.attributes['target']
131
+ expect(target).to_not be_nil
132
+ expect(target).to match(/\.png$/)
133
+ expect(File.exist?(target)).to be true
134
+
135
+ expect(b.attributes['width']).to_not be_nil
136
+ expect(b.attributes['height']).to_not be_nil
137
+ end
138
+ end
139
+ end
140
+
141
+ describe Asciidoctor::Diagram::BarcodeInlineMacroProcessor do
142
+ BARCODES.each_pair do |type, code|
143
+ it "should support #{type}" do
144
+ doc = <<-eos
145
+ = Hello, barby!
146
+ Doc Writer <doc@example.com>
147
+
148
+ == First Section
149
+
150
+ #{type}:#{code}[xdim=1,margin=10,height=100,foreground=darkgray,background=azure]
151
+ eos
152
+
153
+ d = load_asciidoc doc
154
+ expect(d).to_not be_nil
155
+
156
+ b = d.find { |bl| bl.context == :paragraph }
157
+ expect(b).to_not be_nil
158
+
159
+ output = b.convert
160
+ img_match = /<img[^>]*>/.match(output)
161
+ expect(img_match).to_not be_nil
162
+ img = img_match.to_s
163
+
164
+ src_match = /src="([^"]*)"/.match(img)
165
+ expect(src_match).to_not be_nil
166
+ src = src_match[1]
167
+
168
+ expect(src).to_not be_nil
169
+ expect(src).to match(/\.png$/)
170
+ expect(File.exist?(src)).to be true
171
+
172
+ expect(/width="([^"]*)"/.match(img)).to_not be_nil
173
+ expect(/height="([^"]*)"/.match(img)).to_not be_nil
174
+ end
175
+ end
176
+ end
@@ -7,6 +7,10 @@ blockdiag {
7
7
  }
8
8
  eos
9
9
 
10
+ describe Asciidoctor::Diagram::BlockDiagInlineMacroProcessor do
11
+ include_examples "inline_macro", :blockdiag, BLOCKDIAG_CODE, [:png, :svg, :pdf]
12
+ end
13
+
10
14
  describe Asciidoctor::Diagram::BlockDiagBlockMacroProcessor do
11
15
  include_examples "block_macro", :blockdiag, BLOCKDIAG_CODE, [:png, :svg, :pdf]
12
16
  end
data/spec/bpmn_spec.rb CHANGED
@@ -47,6 +47,10 @@ BPNM_CODE = <<EOF
47
47
  </bpmn:definitions>
48
48
  EOF
49
49
 
50
+ describe Asciidoctor::Diagram::BpmnInlineMacroProcessor do
51
+ include_examples "inline_macro", :bpmn, BPNM_CODE, [:png, :svg, :pdf]
52
+ end
53
+
50
54
  describe Asciidoctor::Diagram::BpmnBlockMacroProcessor do
51
55
  include_examples "block_macro", :bpmn, BPNM_CODE, [:png, :svg, :pdf]
52
56
  end
@@ -83,6 +83,10 @@ BYTEFIELD_CODE = <<-eos
83
83
  (draw-bottom)
84
84
  eos
85
85
 
86
+ describe Asciidoctor::Diagram::BytefieldInlineMacroProcessor do
87
+ include_examples "inline_macro", :bytefield, BYTEFIELD_CODE, [:svg]
88
+ end
89
+
86
90
  describe Asciidoctor::Diagram::BytefieldBlockMacroProcessor do
87
91
  include_examples "block_macro", :bytefield, BYTEFIELD_CODE, [:svg]
88
92
  end
@@ -14,6 +14,10 @@ with Diagram("Grouped Workers", show=False, direction="TB"):
14
14
  EC2("worker5")] >> RDS("events")
15
15
  eos
16
16
 
17
+ describe Asciidoctor::Diagram::DiagramsInlineMacroProcessor do
18
+ include_examples "inline_macro", :diagrams, DIAGRAMS_CODE, [:png, :svg]
19
+ end
20
+
17
21
  describe Asciidoctor::Diagram::DiagramsBlockMacroProcessor do
18
22
  include_examples "block_macro", :diagrams, DIAGRAMS_CODE, [:png, :svg]
19
23
  end
data/spec/ditaa_spec.rb CHANGED
@@ -12,6 +12,10 @@ DITAA_CODE = <<-eos
12
12
  +-------------------------+
13
13
  eos
14
14
 
15
+ describe Asciidoctor::Diagram::DitaaInlineMacroProcessor do
16
+ include_examples "inline_macro", :ditaa, DITAA_CODE, [:png, :svg]
17
+ end
18
+
15
19
  describe Asciidoctor::Diagram::DitaaBlockMacroProcessor do
16
20
  include_examples "block_macro", :ditaa, DITAA_CODE, [:png, :svg]
17
21
  end
data/spec/dpic_spec.rb CHANGED
@@ -10,6 +10,10 @@ G: box "$G(s)$"
10
10
  "$-\;$" below rjust
11
11
  eos
12
12
 
13
+ describe Asciidoctor::Diagram::DpicInlineMacroProcessor, :broken_on_windows do
14
+ include_examples "inline_macro", :dpic, DPIC_CODE, [:svg]
15
+ end
16
+
13
17
  describe Asciidoctor::Diagram::DpicBlockMacroProcessor, :broken_on_windows do
14
18
  include_examples "block_macro", :dpic, DPIC_CODE, [:svg]
15
19
  end
data/spec/erd_spec.rb CHANGED
@@ -83,6 +83,10 @@ play 1--* play_player
83
83
  player 1--* play_player
84
84
  eos
85
85
 
86
+ describe Asciidoctor::Diagram::ErdInlineMacroProcessor, :broken_on_windows do
87
+ include_examples "inline_macro", :erd, ERD_CODE, [:png, :svg]
88
+ end
89
+
86
90
  describe Asciidoctor::Diagram::ErdBlockMacroProcessor, :broken_on_windows do
87
91
  include_examples "block_macro", :erd, ERD_CODE, [:png, :svg]
88
92
  end
data/spec/gnuplot_spec.rb CHANGED
@@ -4,6 +4,10 @@ GNUPLOT_CODE = <<-eos
4
4
  plot [0:5][0:20] x**2 title 'O(n^2)'
5
5
  eos
6
6
 
7
+ describe Asciidoctor::Diagram::GnuplotInlineMacroProcessor do
8
+ include_examples "inline_macro", :gnuplot, GNUPLOT_CODE, [:png, :svg, :gif]
9
+ end
10
+
7
11
  describe Asciidoctor::Diagram::GnuplotBlockMacroProcessor do
8
12
  include_examples "block_macro", :gnuplot, GNUPLOT_CODE, [:png, :svg, :gif, :txt]
9
13
  end
@@ -0,0 +1,33 @@
1
+ require_relative 'test_helper'
2
+
3
+ GRAPHVIZ_PY_CODE = <<-eos
4
+ graph python_graph {
5
+ {{
6
+ import math
7
+
8
+ value = 0.5
9
+ sin = math.sin(value)
10
+ cos = math.cos(value)
11
+ }}
12
+
13
+ A [label="{{= value }}"];
14
+ B [label="{{= sin }}"];
15
+ C [label="{{= cos }}"];
16
+
17
+ A -- B [headlabel="sin"];
18
+ A -- C [headlabel="cos"];
19
+
20
+ }
21
+ eos
22
+
23
+ describe Asciidoctor::Diagram::GraphvizPyInlineMacroProcessor, :broken_on_github do
24
+ include_examples "inline_macro", :graphviz_py, GRAPHVIZ_PY_CODE, [:png, :svg]
25
+ end
26
+
27
+ describe Asciidoctor::Diagram::GraphvizPyBlockMacroProcessor, :broken_on_github do
28
+ include_examples "block_macro", :graphviz_py, GRAPHVIZ_PY_CODE, [:png, :svg]
29
+ end
30
+
31
+ describe Asciidoctor::Diagram::GraphvizPyBlockProcessor, :broken_on_github do
32
+ include_examples "block", :graphviz_py, GRAPHVIZ_PY_CODE, [:png, :svg]
33
+ end
@@ -11,6 +11,10 @@ digraph foo {
11
11
  }
12
12
  eos
13
13
 
14
+ describe Asciidoctor::Diagram::GraphvizInlineMacroProcessor do
15
+ include_examples "inline_macro", :graphviz, DOT_CODE, [:png, :svg]
16
+ end
17
+
14
18
  describe Asciidoctor::Diagram::GraphvizBlockMacroProcessor do
15
19
  include_examples "block_macro", :graphviz, DOT_CODE, [:png, :svg]
16
20
  end
@@ -4,10 +4,14 @@ LILYPOND_CODE = <<-eos
4
4
  \\relative c' { f d f a d f e d cis a cis e a g f e }
5
5
  eos
6
6
 
7
- describe Asciidoctor::Diagram::LilypondBlockMacroProcessor do
7
+ describe Asciidoctor::Diagram::LilypondInlineMacroProcessor, :broken_on_windows do
8
+ include_examples "inline_macro", :lilypond, LILYPOND_CODE, [:png]
9
+ end
10
+
11
+ describe Asciidoctor::Diagram::LilypondBlockMacroProcessor, :broken_on_windows do
8
12
  include_examples "block_macro", :lilypond, LILYPOND_CODE, [:png]
9
13
  end
10
14
 
11
- describe Asciidoctor::Diagram::LilypondBlockProcessor do
15
+ describe Asciidoctor::Diagram::LilypondBlockProcessor, :broken_on_windows do
12
16
  include_examples "block", :lilypond, LILYPOND_CODE, [:png]
13
17
  end
data/spec/mermaid_spec.rb CHANGED
@@ -8,6 +8,10 @@ graph LR
8
8
  C --> D
9
9
  eos
10
10
 
11
+ describe Asciidoctor::Diagram::MermaidInlineMacroProcessor do
12
+ include_examples "inline_macro", :mermaid, MERMAID_CODE, [:png, :svg]
13
+ end
14
+
11
15
  describe Asciidoctor::Diagram::MermaidBlockMacroProcessor do
12
16
  include_examples "block_macro", :mermaid, MERMAID_CODE, [:png, :svg]
13
17
 
data/spec/msc_spec.rb CHANGED
@@ -24,10 +24,14 @@ msc {
24
24
  }
25
25
  eos
26
26
 
27
- describe Asciidoctor::Diagram::MscBlockMacroProcessor do
27
+ describe Asciidoctor::Diagram::MscInlineMacroProcessor, :broken_on_windows do
28
+ include_examples "inline_macro", :msc, MSC_CODE, [:png, :svg]
29
+ end
30
+
31
+ describe Asciidoctor::Diagram::MscBlockMacroProcessor, :broken_on_windows do
28
32
  include_examples "block_macro", :msc, MSC_CODE, [:png, :svg]
29
33
  end
30
34
 
31
- describe Asciidoctor::Diagram::MscBlockProcessor do
35
+ describe Asciidoctor::Diagram::MscBlockProcessor, :broken_on_windows do
32
36
  include_examples "block", :msc, MSC_CODE, [:png, :svg]
33
37
  end
data/spec/nomnoml_spec.rb CHANGED
@@ -23,6 +23,10 @@ NOMNOML_CODE = <<-eos
23
23
  [<actor>Sailor] - [<usecase>shiver me;timbers]
24
24
  eos
25
25
 
26
+ describe Asciidoctor::Diagram::NomnomlInlineMacroProcessor do
27
+ include_examples "inline_macro", :nomnoml, NOMNOML_CODE, [:svg]
28
+ end
29
+
26
30
  describe Asciidoctor::Diagram::NomnomlBlockMacroProcessor do
27
31
  include_examples "block_macro", :nomnoml, NOMNOML_CODE, [:svg]
28
32
  end
data/spec/pikchr_spec.rb CHANGED
@@ -42,6 +42,10 @@ arrow dashed from last circle.w to 5/8<last circle.w,2nd last box> chop
42
42
  box invis wid 2*boxwid "ndtable:" with .e at Start.w
43
43
  eos
44
44
 
45
+ describe Asciidoctor::Diagram::PikchrInlineMacroProcessor, :broken_on_windows do
46
+ include_examples "inline_macro", :pikchr, PIKCHR_CODE, [:svg]
47
+ end
48
+
45
49
  describe Asciidoctor::Diagram::PikchrBlockMacroProcessor, :broken_on_windows do
46
50
  include_examples "block_macro", :pikchr, PIKCHR_CODE, [:svg]
47
51
  end