asciidoctor-diagram 1.5.18 → 2.0.3

Sign up to get free protection for your applications and to get access to all the features.

Potentially problematic release.


This version of asciidoctor-diagram might be problematic. Click here for more details.

Files changed (102) hide show
  1. checksums.yaml +4 -4
  2. data/CHANGELOG.adoc +66 -0
  3. data/README.adoc +98 -23
  4. data/examples/features.adoc +2 -2
  5. data/lib/asciidoctor-diagram.rb +8 -0
  6. data/lib/asciidoctor-diagram/a2s/converter.rb +55 -0
  7. data/lib/asciidoctor-diagram/a2s/extension.rb +6 -52
  8. data/lib/asciidoctor-diagram/blockdiag/converter.rb +37 -0
  9. data/lib/asciidoctor-diagram/blockdiag/extension.rb +9 -116
  10. data/lib/asciidoctor-diagram/bpmn.rb +7 -0
  11. data/lib/asciidoctor-diagram/bpmn/converter.rb +62 -0
  12. data/lib/asciidoctor-diagram/bpmn/extension.rb +14 -0
  13. data/lib/asciidoctor-diagram/bytefield.rb +7 -0
  14. data/lib/asciidoctor-diagram/bytefield/converter.rb +26 -0
  15. data/lib/asciidoctor-diagram/bytefield/extension.rb +14 -0
  16. data/lib/asciidoctor-diagram/diagram_converter.rb +19 -0
  17. data/lib/asciidoctor-diagram/diagram_processor.rb +345 -0
  18. data/lib/asciidoctor-diagram/diagram_source.rb +306 -0
  19. data/lib/asciidoctor-diagram/ditaa/converter.rb +86 -0
  20. data/lib/asciidoctor-diagram/ditaa/extension.rb +6 -71
  21. data/lib/asciidoctor-diagram/dpic.rb +7 -0
  22. data/lib/asciidoctor-diagram/dpic/converter.rb +30 -0
  23. data/lib/asciidoctor-diagram/dpic/extension.rb +14 -0
  24. data/lib/asciidoctor-diagram/erd/converter.rb +31 -0
  25. data/lib/asciidoctor-diagram/erd/extension.rb +6 -35
  26. data/lib/asciidoctor-diagram/gnuplot.rb +7 -0
  27. data/lib/asciidoctor-diagram/gnuplot/converter.rb +63 -0
  28. data/lib/asciidoctor-diagram/gnuplot/extension.rb +14 -0
  29. data/lib/asciidoctor-diagram/graphviz/converter.rb +32 -0
  30. data/lib/asciidoctor-diagram/graphviz/extension.rb +6 -35
  31. data/lib/asciidoctor-diagram/http/converter.rb +99 -0
  32. data/lib/asciidoctor-diagram/http/server.rb +127 -0
  33. data/lib/asciidoctor-diagram/lilypond.rb +7 -0
  34. data/lib/asciidoctor-diagram/lilypond/converter.rb +54 -0
  35. data/lib/asciidoctor-diagram/lilypond/extension.rb +14 -0
  36. data/lib/asciidoctor-diagram/meme/converter.rb +122 -0
  37. data/lib/asciidoctor-diagram/meme/extension.rb +5 -107
  38. data/lib/asciidoctor-diagram/mermaid/converter.rb +179 -0
  39. data/lib/asciidoctor-diagram/mermaid/extension.rb +6 -159
  40. data/lib/asciidoctor-diagram/msc/converter.rb +35 -0
  41. data/lib/asciidoctor-diagram/msc/extension.rb +6 -36
  42. data/lib/asciidoctor-diagram/nomnoml/converter.rb +25 -0
  43. data/lib/asciidoctor-diagram/nomnoml/extension.rb +6 -28
  44. data/lib/asciidoctor-diagram/pikchr.rb +7 -0
  45. data/lib/asciidoctor-diagram/pikchr/converter.rb +26 -0
  46. data/lib/asciidoctor-diagram/pikchr/extension.rb +14 -0
  47. data/lib/asciidoctor-diagram/plantuml/converter.rb +117 -0
  48. data/lib/asciidoctor-diagram/plantuml/extension.rb +10 -119
  49. data/lib/asciidoctor-diagram/shaape/converter.rb +25 -0
  50. data/lib/asciidoctor-diagram/shaape/extension.rb +6 -28
  51. data/lib/asciidoctor-diagram/smcat.rb +7 -0
  52. data/lib/asciidoctor-diagram/smcat/converter.rb +44 -0
  53. data/lib/asciidoctor-diagram/smcat/extension.rb +14 -0
  54. data/lib/asciidoctor-diagram/svgbob/converter.rb +49 -0
  55. data/lib/asciidoctor-diagram/svgbob/extension.rb +6 -28
  56. data/lib/asciidoctor-diagram/symbolator.rb +7 -0
  57. data/lib/asciidoctor-diagram/symbolator/converter.rb +23 -0
  58. data/lib/asciidoctor-diagram/symbolator/extension.rb +14 -0
  59. data/lib/asciidoctor-diagram/syntrax/converter.rb +55 -0
  60. data/lib/asciidoctor-diagram/syntrax/extension.rb +6 -51
  61. data/lib/asciidoctor-diagram/tikz/converter.rb +56 -0
  62. data/lib/asciidoctor-diagram/tikz/extension.rb +6 -60
  63. data/lib/asciidoctor-diagram/umlet/converter.rb +24 -0
  64. data/lib/asciidoctor-diagram/umlet/extension.rb +6 -28
  65. data/lib/asciidoctor-diagram/util/cli.rb +14 -3
  66. data/lib/asciidoctor-diagram/util/cli_generator.rb +19 -1
  67. data/lib/asciidoctor-diagram/util/gif.rb +2 -2
  68. data/lib/asciidoctor-diagram/util/java.rb +1 -1
  69. data/lib/asciidoctor-diagram/util/java_socket.rb +7 -9
  70. data/lib/asciidoctor-diagram/util/pdf.rb +2 -2
  71. data/lib/asciidoctor-diagram/util/png.rb +2 -2
  72. data/lib/asciidoctor-diagram/util/svg.rb +38 -19
  73. data/lib/asciidoctor-diagram/util/which.rb +0 -29
  74. data/lib/asciidoctor-diagram/vega/converter.rb +47 -0
  75. data/lib/asciidoctor-diagram/vega/extension.rb +6 -44
  76. data/lib/asciidoctor-diagram/version.rb +1 -1
  77. data/lib/asciidoctor-diagram/wavedrom/converter.rb +50 -0
  78. data/lib/asciidoctor-diagram/wavedrom/extension.rb +6 -46
  79. data/lib/ditaa-1.3.15.jar +0 -0
  80. data/lib/ditaamini-0.12.jar +0 -0
  81. data/lib/plantuml-1.3.15.jar +0 -0
  82. data/lib/plantuml.jar +0 -0
  83. data/lib/server-1.3.15.jar +0 -0
  84. data/spec/bpmn-example.xml +44 -0
  85. data/spec/bpmn_spec.rb +96 -0
  86. data/spec/bytefield_spec.rb +230 -0
  87. data/spec/ditaa_spec.rb +32 -0
  88. data/spec/dpic_spec.rb +74 -0
  89. data/spec/gnuplot_spec.rb +478 -0
  90. data/spec/lilypond_spec.rb +151 -0
  91. data/spec/mermaid_spec.rb +33 -1
  92. data/spec/pikchr_spec.rb +106 -0
  93. data/spec/plantuml_spec.rb +90 -1
  94. data/spec/smcat_spec.rb +164 -0
  95. data/spec/symbolator_spec.rb +200 -0
  96. data/spec/test_helper.rb +0 -18
  97. metadata +73 -11
  98. data/lib/asciidoctor-diagram/extensions.rb +0 -568
  99. data/lib/ditaa-1.3.13.jar +0 -0
  100. data/lib/ditaamini-0.11.jar +0 -0
  101. data/lib/plantuml-1.3.13.jar +0 -0
  102. data/lib/server-1.3.13.jar +0 -0
@@ -0,0 +1,37 @@
1
+ require_relative '../diagram_converter'
2
+ require_relative '../util/cli_generator'
3
+ require_relative '../util/platform'
4
+
5
+ module Asciidoctor
6
+ module Diagram
7
+ ['BlockDiag', 'SeqDiag', 'ActDiag', 'NwDiag', 'RackDiag', 'PacketDiag'].each do |name|
8
+ converter = Class.new do
9
+ include DiagramConverter
10
+ include CliGenerator
11
+
12
+ def supported_formats
13
+ [:png, :pdf, :svg]
14
+ end
15
+
16
+ def convert(source, format, options)
17
+ # On Debian based systems the Python 3.x packages python3-(act|block|nw|seq)diag executables with
18
+ # a '3' suffix.
19
+ cmd_name = self.class.const_get(:TOOL)
20
+ alt_cmd_name = "#{cmd_name}3"
21
+
22
+ font_path = source.attr('fontpath', nil, cmd_name)
23
+
24
+ generate_stdin(source.find_command(cmd_name, :alt_cmds => [alt_cmd_name]), format.to_s, source.to_s) do |tool_path, output_path|
25
+ args = [tool_path, '-a', '-o', Platform.native_path(output_path), "-T#{format.to_s}"]
26
+ args << "-f#{Platform.native_path(font_path)}" if font_path
27
+ args << '-'
28
+ args
29
+ end
30
+ end
31
+ end
32
+ converter.const_set(:TOOL, name.downcase)
33
+
34
+ ::Asciidoctor::Diagram.const_set("#{name}Converter", converter)
35
+ end
36
+ end
37
+ end
@@ -1,125 +1,18 @@
1
- require_relative '../extensions'
2
- require_relative '../util/cli_generator'
3
- require_relative '../util/platform'
4
- require_relative '../util/which'
1
+ require_relative '../diagram_processor'
2
+ require_relative 'converter'
5
3
 
6
4
  module Asciidoctor
7
5
  module Diagram
8
- # @!parse
9
- # # Block processor converts blockdiag code into images.
10
- # #
11
- # # Supports PNG and SVG output.
12
- # class BlockDiagBlockProcessor < API::DiagramBlockProcessor; end
13
- #
14
- # # Block macro processor converts blockdiag source files into images.
15
- # #
16
- # # Supports PNG and SVG output.
17
- # class BlockDiagBlockMacroProcessor < DiagramBlockMacroProcessor; end
18
-
19
- # @!parse
20
- # # Block processor converts seqdiag code into images.
21
- # #
22
- # # Supports PNG and SVG output.
23
- # class SeqDiagBlockProcessor < API::DiagramBlockProcessor; end
24
- #
25
- # # Block macro processor converts seqdiag source files into images.
26
- # #
27
- # # Supports PNG and SVG output.
28
- # class SeqDiagBlockMacroProcessor < API::DiagramBlockMacroProcessor; end
29
-
30
- # @!parse
31
- # # Block processor converts actdiag code into images.
32
- # #
33
- # # Supports PNG and SVG output.
34
- # class ActDiagBlockProcessor < API::DiagramBlockProcessor; end
35
- #
36
- # # Block macro processor converts actdiag source files into images.
37
- # #
38
- # # Supports PNG and SVG output.
39
- # class ActDiagBlockMacroProcessor < API::DiagramBlockMacroProcessor; end
40
-
41
- # @!parse
42
- # # Block processor converts nwdiag code into images.
43
- # #
44
- # # Supports PNG and SVG output.
45
- # class NwDiagBlockProcessor < API::DiagramBlockProcessor; end
46
- #
47
- # # Block macro processor converts nwdiag source files into images.
48
- # #
49
- # # Supports PNG and SVG output.
50
- # class NwDiagBlockMacroProcessor < API::DiagramBlockMacroProcessor; end
51
-
52
- # @!parse
53
- # # Block processor converts rackdiag code into images.
54
- # #
55
- # # Supports PNG and SVG output.
56
- # class RackDiagBlockProcessor < API::DiagramBlockProcessor; end
57
- #
58
- # # Block macro processor converts rackdiag source files into images.
59
- # #
60
- # # Supports PNG and SVG output.
61
- # class RackDiagBlockMacroProcessor < API::DiagramBlockMacroProcessor; end
62
-
63
- # @!parse
64
- # # Block processor converts packetdiag code into images.
65
- # #
66
- # # Supports PNG and SVG output.
67
- # class PacketDiagBlockProcessor < API::DiagramBlockProcessor; end
68
- #
69
- # # Block macro processor converts packetdiag source files into images.
70
- # #
71
- # # Supports PNG and SVG output.
72
- # class PacketDiagBlockMacroProcessor < API::DiagramBlockMacroProcessor; end
73
-
74
- # @private
75
- module BlockDiag
76
- def self.define_processors(name)
77
- init = Proc.new do
78
- include ::Asciidoctor::Diagram::BlockDiag
79
-
80
- [:png, :pdf, :svg].each do |f|
81
- register_format(f, :image) do |p, c|
82
- blockdiag(name, p, c, f)
83
- end
84
- end
85
- end
86
-
87
- block = Class.new(Extensions::DiagramBlockProcessor) do
88
- self.instance_eval(&init)
89
- end
90
- ::Asciidoctor::Diagram.const_set("#{name}BlockProcessor", block)
91
-
92
- block_macro = Class.new(Extensions::DiagramBlockMacroProcessor) do
93
- self.instance_eval(&init)
94
- end
95
-
96
- ::Asciidoctor::Diagram.const_set("#{name}BlockMacroProcessor", block_macro)
6
+ ['BlockDiag', 'SeqDiag', 'ActDiag', 'NwDiag', 'RackDiag', 'PacketDiag'].each do |tool|
7
+ block = Class.new(DiagramBlockProcessor) do
8
+ use_converter ::Asciidoctor::Diagram.const_get("#{tool}Converter")
97
9
  end
10
+ ::Asciidoctor::Diagram.const_set("#{tool}BlockProcessor", block)
98
11
 
99
- include CliGenerator
100
- include Which
101
-
102
- def blockdiag(tool, parent, source, format)
103
- inherit_prefix = name
104
- cmd_name = tool.downcase
105
-
106
- # On Debian based systems the Python 3.x packages python3-(act|block|nw|seq)diag executables with
107
- # a '3' suffix.
108
- alt_cmd_name = "#{tool.downcase}3"
109
-
110
- font_path = source.attr('fontpath', nil, inherit_prefix)
111
-
112
- generate_stdin(which(parent, cmd_name, :alt_cmds => [alt_cmd_name]), format.to_s, source.to_s) do |tool_path, output_path|
113
- args = [tool_path, '-a', '-o', Platform.native_path(output_path), "-T#{format.to_s}"]
114
- args << "-f#{Platform.native_path(font_path)}" if font_path
115
- args << '-'
116
- args
117
- end
12
+ block_macro = Class.new(DiagramBlockMacroProcessor) do
13
+ use_converter ::Asciidoctor::Diagram.const_get("#{tool}Converter")
118
14
  end
119
- end
120
-
121
- ['BlockDiag', 'SeqDiag', 'ActDiag', 'NwDiag', 'RackDiag', 'PacketDiag'].each do |tool|
122
- BlockDiag.define_processors(tool)
15
+ ::Asciidoctor::Diagram.const_set("#{tool}BlockMacroProcessor", block_macro)
123
16
  end
124
17
  end
125
18
  end
@@ -0,0 +1,7 @@
1
+ require 'asciidoctor/extensions'
2
+ require_relative 'bpmn/extension'
3
+
4
+ Asciidoctor::Extensions.register do
5
+ block Asciidoctor::Diagram::BpmnBlockProcessor, :bpmn
6
+ block_macro Asciidoctor::Diagram::BpmnBlockMacroProcessor, :bpmn
7
+ end
@@ -0,0 +1,62 @@
1
+ require_relative '../diagram_converter'
2
+ require_relative '../util/cli'
3
+ require_relative '../util/cli_generator'
4
+ require_relative '../util/platform'
5
+
6
+ module Asciidoctor
7
+ module Diagram
8
+ # @private
9
+ class BpmnConverter
10
+ include DiagramConverter
11
+ include CliGenerator
12
+
13
+
14
+ def supported_formats
15
+ [:png, :svg, :pdf, :jpeg]
16
+ end
17
+
18
+ def collect_options(source, name)
19
+ options = {}
20
+
21
+ options[:width] = source.attr('width', nil, name)
22
+ options[:height] = source.attr('height', nil, name)
23
+
24
+ options
25
+ end
26
+
27
+ def convert(source, format, options)
28
+ opts = {}
29
+
30
+ opts[:width] = options[:width]
31
+
32
+ bpmnjs = source.find_command('bpmn-js')
33
+ opts[:height] = options[:height]
34
+ opts[:theme] = options[:theme]
35
+ config = options[:config]
36
+ if config
37
+ opts[:config] = source.resolve_path(config)
38
+ end
39
+ run_bpmnjs(bpmnjs, source, format, opts)
40
+ end
41
+
42
+ private
43
+
44
+ def run_bpmnjs(bpmnjs, source, format, options = {})
45
+ generate_file(bpmnjs, 'bpmn', format.to_s, source.to_s) do |tool_path, input_path, output_path|
46
+ args = [tool_path, Platform.native_path(input_path), '-o', Platform.native_path(output_path), '-t', format.to_s]
47
+
48
+
49
+ if options[:width]
50
+ args << '--width' << options[:width]
51
+ end
52
+
53
+ if options[:height]
54
+ args << '--height' << options[:height]
55
+ end
56
+
57
+ args
58
+ end
59
+ end
60
+ end
61
+ end
62
+ end
@@ -0,0 +1,14 @@
1
+ require_relative 'converter'
2
+ require_relative '../diagram_processor'
3
+
4
+ module Asciidoctor
5
+ module Diagram
6
+ class BpmnBlockProcessor < DiagramBlockProcessor
7
+ use_converter BpmnConverter
8
+ end
9
+
10
+ class BpmnBlockMacroProcessor < DiagramBlockMacroProcessor
11
+ use_converter BpmnConverter
12
+ end
13
+ end
14
+ end
@@ -0,0 +1,7 @@
1
+ require 'asciidoctor/extensions'
2
+ require_relative 'bytefield/extension'
3
+
4
+ Asciidoctor::Extensions.register do
5
+ block Asciidoctor::Diagram::BytefieldBlockProcessor, :bytefield
6
+ block_macro Asciidoctor::Diagram::BytefieldBlockMacroProcessor, :bytefield
7
+ end
@@ -0,0 +1,26 @@
1
+ require_relative '../diagram_converter'
2
+ require_relative '../util/cli_generator'
3
+ require_relative '../util/platform'
4
+
5
+ module Asciidoctor
6
+ module Diagram
7
+ # @private
8
+ class BytefieldConverter
9
+ include DiagramConverter
10
+ include CliGenerator
11
+
12
+
13
+ def supported_formats
14
+ [:svg]
15
+ end
16
+
17
+ def convert(source, format, options)
18
+ bytefield_path = source.find_command('bytefield-svg')
19
+
20
+ generate_stdin(bytefield_path, format.to_s, source.to_s) do |tool_path, output_path|
21
+ [tool_path, "--output", Platform.native_path(output_path)]
22
+ end
23
+ end
24
+ end
25
+ end
26
+ end
@@ -0,0 +1,14 @@
1
+ require_relative 'converter'
2
+ require_relative '../diagram_processor'
3
+
4
+ module Asciidoctor
5
+ module Diagram
6
+ class BytefieldBlockProcessor < DiagramBlockProcessor
7
+ use_converter BytefieldConverter
8
+ end
9
+
10
+ class BytefieldBlockMacroProcessor < DiagramBlockMacroProcessor
11
+ use_converter BytefieldConverter
12
+ end
13
+ end
14
+ end
@@ -0,0 +1,19 @@
1
+ module Asciidoctor
2
+ module Diagram
3
+ # This module describes the duck-typed interface that diagram converters must implement. Implementations
4
+ # may include this module but it is not required.
5
+ module DiagramConverter
6
+ def supported_formats
7
+ raise NotImplementedError.new
8
+ end
9
+
10
+ def collect_options(source, name)
11
+ {}
12
+ end
13
+
14
+ def convert(source, format, options)
15
+ raise NotImplementedError.new
16
+ end
17
+ end
18
+ end
19
+ end
@@ -0,0 +1,345 @@
1
+ require 'asciidoctor' unless defined? ::Asciidoctor::VERSION
2
+ require 'asciidoctor/extensions'
3
+ require 'digest'
4
+ require 'json'
5
+ require 'fileutils'
6
+ require_relative 'diagram_source.rb'
7
+ require_relative 'http/converter'
8
+ require_relative 'version'
9
+ require_relative 'util/java'
10
+ require_relative 'util/gif'
11
+ require_relative 'util/pdf'
12
+ require_relative 'util/png'
13
+ require_relative 'util/svg'
14
+
15
+ module Asciidoctor
16
+ module Diagram
17
+ # Mixin that provides the basic machinery for image generation.
18
+ # When this module is included it will include the FormatRegistry into the singleton class of the target class.
19
+ module DiagramProcessor
20
+ include Asciidoctor::Logging
21
+
22
+ module ClassMethods
23
+ def use_converter(converter_type)
24
+ config[:converter] = converter_type
25
+ end
26
+ end
27
+
28
+ def self.included(host_class)
29
+ host_class.use_dsl
30
+ host_class.extend(ClassMethods)
31
+ end
32
+
33
+ DIAGRAM_PREFIX = 'diagram'
34
+
35
+ IMAGE_PARAMS = {
36
+ :svg => {
37
+ :encoding => Encoding::UTF_8,
38
+ :decoder => SVG
39
+ },
40
+ :gif => {
41
+ :encoding => Encoding::ASCII_8BIT,
42
+ :decoder => GIF
43
+ },
44
+ :png => {
45
+ :encoding => Encoding::ASCII_8BIT,
46
+ :decoder => PNG
47
+ },
48
+ :pdf => {
49
+ :encoding => Encoding::ASCII_8BIT,
50
+ :decoder => PDF
51
+ }
52
+ }
53
+
54
+ # Processes the diagram block or block macro by converting it into an image or literal block.
55
+ #
56
+ # @param parent [Asciidoctor::AbstractBlock] the parent asciidoc block of the block or block macro being processed
57
+ # @param reader_or_target [Asciidoctor::Reader, String] a reader that provides the contents of a block or the
58
+ # target value of a block macro
59
+ # @param attributes [Hash] the attributes of the block or block macro
60
+ # @return [Asciidoctor::AbstractBlock] a new block that replaces the original block or block macro
61
+ def process(parent, reader_or_target, attributes)
62
+ location = parent.document.reader.cursor_at_mark
63
+
64
+ normalised_attributes = attributes.inject({}) { |h, (k, v)| h[normalise_attribute_name(k)] = v; h }
65
+ source = create_source(parent, reader_or_target, normalised_attributes)
66
+
67
+ converter = config[:converter].new
68
+
69
+ supported_formats = converter.supported_formats
70
+
71
+ begin
72
+ format = source.attributes.delete('format') || source.attr('format', nil, name) || source.attr('format', supported_formats[0], DIAGRAM_PREFIX)
73
+ format = format.to_sym if format.respond_to?(:to_sym)
74
+
75
+ raise "Format undefined" unless format
76
+
77
+ raise "#{self.class.name} does not support output format #{format}" unless supported_formats.include?(format)
78
+
79
+
80
+ title = source.attributes.delete 'title'
81
+ caption = source.attributes.delete 'caption'
82
+
83
+ case format
84
+ when :txt, :atxt, :utxt
85
+ block = create_literal_block(parent, source, format, converter)
86
+ else
87
+ block = create_image_block(parent, source, format, converter)
88
+ end
89
+
90
+ block.title = title
91
+ block.assign_caption(caption, 'figure')
92
+ block
93
+ rescue => e
94
+ case source.attr('on-error', 'log', DIAGRAM_PREFIX)
95
+ when 'abort'
96
+ raise e
97
+ else
98
+ text = "Failed to generate image: #{e.message}"
99
+ warn_msg = text.dup
100
+ if $VERBOSE
101
+ warn_msg << "\n" << e.backtrace.join("\n")
102
+ end
103
+
104
+ logger.error message_with_context warn_msg, source_location: location
105
+
106
+ text << "\n"
107
+ text << source.code
108
+ Asciidoctor::Block.new parent, :listing, :source => text, :attributes => attributes
109
+ end
110
+
111
+ end
112
+ end
113
+
114
+ protected
115
+
116
+ # Creates a DiagramSource object for the block or block macro being processed. Classes using this
117
+ # mixin must implement this method.
118
+ #
119
+ # @param parent_block [Asciidoctor::AbstractBlock] the parent asciidoc block of the block or block macro being processed
120
+ # @param reader_or_target [Asciidoctor::Reader, String] a reader that provides the contents of a block or the
121
+ # target value of a block macro
122
+ # @param attributes [Hash] the attributes of the block or block macro
123
+ #
124
+ # @return [DiagramSource] an object that implements the interface described by DiagramSource
125
+ #
126
+ # @abstract
127
+ def create_source(parent_block, reader_or_target, attributes)
128
+ raise NotImplementedError.new
129
+ end
130
+
131
+ private
132
+
133
+ def normalise_attribute_name(k)
134
+ case k
135
+ when String
136
+ k.downcase
137
+ when Symbol
138
+ k.to_s.downcase.to_sym
139
+ else
140
+ k
141
+ end
142
+ end
143
+
144
+ DIGIT_CHAR_RANGE = ('0'.ord)..('9'.ord)
145
+
146
+ def create_image_block(parent, source, format, converter)
147
+ image_name = "#{source.image_name}.#{format}"
148
+ image_dir = image_output_dir(parent)
149
+ cache_dir = cache_dir(parent)
150
+ image_file = parent.normalize_system_path image_name, image_dir
151
+ metadata_file = parent.normalize_system_path "#{image_name}.cache", cache_dir
152
+
153
+ if File.exist? metadata_file
154
+ metadata = File.open(metadata_file, 'r') {|f| JSON.load(f, nil, :symbolize_names => true, :create_additions => false) }
155
+ else
156
+ metadata = {}
157
+ end
158
+
159
+ image_attributes = source.attributes
160
+ options = converter.collect_options(source, name)
161
+
162
+ if !File.exist?(image_file) || source.should_process?(image_file, metadata) || options != metadata[:options]
163
+ params = IMAGE_PARAMS[format]
164
+
165
+ server_url = source.attr('server-url', nil, name) || source.attr('server-url', nil, DIAGRAM_PREFIX)
166
+ if server_url
167
+ server_type = source.attr('server-type', nil, name) || source.attr('server-type', 'plantuml', DIAGRAM_PREFIX)
168
+ converter = HttpConverter.new(server_url, server_type.to_sym, converter)
169
+ end
170
+
171
+ options = converter.collect_options(source, name)
172
+ result = converter.convert(source, format, options)
173
+
174
+ result.force_encoding(params[:encoding])
175
+
176
+ metadata = source.create_image_metadata
177
+ metadata[:options] = options
178
+
179
+ result, metadata[:width], metadata[:height] = params[:decoder].post_process_image(result)
180
+
181
+ FileUtils.mkdir_p(File.dirname(image_file)) unless Dir.exist?(File.dirname(image_file))
182
+ File.open(image_file, 'wb') {|f| f.write result}
183
+
184
+ FileUtils.mkdir_p(File.dirname(metadata_file)) unless Dir.exist?(File.dirname(metadata_file))
185
+ File.open(metadata_file, 'w') {|f| JSON.dump(metadata, f)}
186
+ end
187
+
188
+ scale = image_attributes['scale']
189
+ if scalematch = /(\d+(?:\.\d+))/.match(scale)
190
+ scale_factor = scalematch[1].to_f
191
+ else
192
+ scale_factor = 1.0
193
+ end
194
+
195
+ if /html/i =~ parent.document.attributes['backend']
196
+ image_attributes.delete('scale')
197
+ if metadata[:width] && !image_attributes['width']
198
+ image_attributes['width'] = (metadata[:width] * scale_factor).to_i
199
+ end
200
+ if metadata[:height] && !image_attributes['height']
201
+ image_attributes['height'] = (metadata[:height] * scale_factor).to_i
202
+ end
203
+ end
204
+
205
+ parent.document.register(:images, image_name)
206
+
207
+ node = Asciidoctor::Block.new parent, :image, :content_model => :empty, :attributes => image_attributes
208
+
209
+ alt_text = node.attr('alt')
210
+ alt_text ||= if title_text = image_attributes['title']
211
+ title_text
212
+ elsif target = image_attributes['target']
213
+ (File.basename(target, File.extname(target)) || '').tr '_-', ' '
214
+ else
215
+ 'Diagram'
216
+ end
217
+ alt_text = parent.sub_specialchars(alt_text)
218
+
219
+ node.set_attr('alt', alt_text)
220
+
221
+ if (scaledwidth = node.attr('scaledwidth'))
222
+ # append % to scaledwidth if ends in number (no units present)
223
+ if DIGIT_CHAR_RANGE.include?((scaledwidth[-1] || 0).ord)
224
+ node.set_attr('scaledwidth', %(#{scaledwidth}%))
225
+ end
226
+ end
227
+
228
+ node.set_attr('target', source.attr('data-uri', nil, true) ? image_file : image_name)
229
+
230
+ if format == :svg
231
+ svg_type = source.attr('svg-type', nil, name) || source.attr('svg-type', nil, DIAGRAM_PREFIX)
232
+ case svg_type
233
+ when nil, 'static'
234
+ when 'inline', 'interactive'
235
+ node.set_option(svg_type)
236
+ node.set_attr('target', image_file)
237
+ else
238
+ raise "Unsupported SVG type: #{svg_type}"
239
+ end
240
+ end
241
+
242
+ node
243
+ end
244
+
245
+ def scale(size, factor)
246
+ if match = /(\d+)(.*)/.match(size)
247
+ value = match[1].to_i
248
+ unit = match[2]
249
+ (value * factor).to_i.to_s + unit
250
+ else
251
+ size
252
+ end
253
+ end
254
+
255
+ def image_output_dir(parent)
256
+ document = parent.document
257
+
258
+ images_dir = parent.attr('imagesoutdir', nil, true)
259
+
260
+ if images_dir
261
+ base_dir = nil
262
+ else
263
+ base_dir = parent.attr('outdir', nil, true) || doc_option(document, :to_dir)
264
+ images_dir = parent.attr('imagesdir', nil, true)
265
+ end
266
+
267
+ parent.normalize_system_path(images_dir, base_dir)
268
+ end
269
+
270
+ def cache_dir(parent)
271
+ document = parent.document
272
+ cache_dir = '.asciidoctor/diagram'
273
+ base_dir = parent.attr('outdir', nil, true) || doc_option(document, :to_dir)
274
+ parent.normalize_system_path(cache_dir, base_dir)
275
+ end
276
+
277
+ def create_literal_block(parent, source, format, converter)
278
+ literal_attributes = source.attributes
279
+ literal_attributes.delete('target')
280
+
281
+ options = converter.collect_options(source, name)
282
+ result = converter.convert(source, format, options)
283
+
284
+ result.force_encoding(Encoding::UTF_8)
285
+ Asciidoctor::Block.new parent, :literal, :source => result, :attributes => literal_attributes
286
+ end
287
+
288
+ def doc_option(document, key)
289
+ if document.respond_to?(:options)
290
+ value = document.options[key]
291
+ else
292
+ value = nil
293
+ end
294
+
295
+ if document.nested? && value.nil?
296
+ doc_option(document.parent_document, key)
297
+ else
298
+ value
299
+ end
300
+ end
301
+ end
302
+
303
+ # Base class for diagram block processors.
304
+ class DiagramBlockProcessor < Asciidoctor::Extensions::BlockProcessor
305
+ include DiagramProcessor
306
+
307
+ def self.inherited(subclass)
308
+ subclass.name_positional_attributes ['target', 'format']
309
+ subclass.contexts [:listing, :literal, :open]
310
+ subclass.content_model :simple
311
+ end
312
+
313
+ # Creates a ReaderSource from the given reader.
314
+ #
315
+ # @return [ReaderSource] a ReaderSource
316
+ def create_source(parent_block, reader, attributes)
317
+ ReaderSource.new(self, parent_block, reader, attributes)
318
+ end
319
+ end
320
+
321
+ # Base class for diagram block macro processors.
322
+ class DiagramBlockMacroProcessor < Asciidoctor::Extensions::BlockMacroProcessor
323
+ include DiagramProcessor
324
+
325
+ def self.inherited(subclass)
326
+ subclass.name_positional_attributes ['target', 'format']
327
+ end
328
+
329
+ def apply_target_subs(parent, target)
330
+ if target
331
+ parent.normalize_system_path(parent.sub_attributes(target, :attribute_missing => 'warn'))
332
+ else
333
+ nil
334
+ end
335
+ end
336
+
337
+ # Creates a FileSource using target as the file name.
338
+ #
339
+ # @return [FileSource] a FileSource
340
+ def create_source(parent, target, attributes)
341
+ FileSource.new(self, parent, apply_target_subs(parent, target), attributes)
342
+ end
343
+ end
344
+ end
345
+ end