arcadia 0.1.3 → 0.2.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (56) hide show
  1. data/README +69 -77
  2. data/arcadia.rb +1097 -770
  3. data/base/a-commons.rb +480 -0
  4. data/base/a-contracts.rb +207 -322
  5. data/base/{a-utils.rb → a-tkcommons.rb} +933 -993
  6. data/conf/arcadia.conf +130 -203
  7. data/conf/arcadia.res.rb +1332 -1325
  8. data/ext/ae-action-dispatcher/ae-action-dispatcher.conf +6 -0
  9. data/ext/ae-action-dispatcher/ae-action-dispatcher.rb +22 -0
  10. data/ext/ae-complete-code/ae-complete-code.conf +2 -2
  11. data/ext/ae-complete-code/ae-complete-code.rb +82 -80
  12. data/ext/ae-doc-code/ae-doc-code.conf +2 -2
  13. data/ext/ae-doc-code/ae-doc-code.rb +114 -111
  14. data/ext/ae-editor/ae-editor.conf +110 -105
  15. data/ext/ae-editor/ae-editor.rb +2501 -2287
  16. data/ext/ae-editor/langs/conf.lang +15 -0
  17. data/ext/ae-editor/langs/lang.lang.bind +1 -0
  18. data/ext/ae-editor/langs/rb.lang +67 -0
  19. data/ext/ae-editor/langs/rbw.lang.bind +1 -0
  20. data/ext/ae-event-log/ae-event-log.rb +45 -52
  21. data/ext/ae-file-history/ae-file-history.conf +4 -4
  22. data/ext/ae-file-history/ae-file-history.rb +298 -286
  23. data/ext/ae-flag/ae-flag.conf +6 -6
  24. data/ext/ae-flag/ae-flag.rb +12 -12
  25. data/ext/ae-inspector/ae-inspector.conf +3 -3
  26. data/ext/ae-inspector/ae-inspector.rb +2 -2
  27. data/ext/ae-output-event/ae-output-event.conf +15 -15
  28. data/ext/ae-output/ae-output.conf +5 -3
  29. data/ext/ae-output/ae-output.rb +62 -33
  30. data/ext/ae-palette/ae-palette.conf +3 -3
  31. data/ext/ae-palette/ae-palette.rb +265 -306
  32. data/ext/ae-rad/ae-rad-inspector.rb +1534 -0
  33. data/{base/a-libs.rb → ext/ae-rad/ae-rad-libs.rb} +1118 -846
  34. data/ext/ae-rad/ae-rad-palette.rb +273 -0
  35. data/ext/ae-rad/ae-rad.conf +71 -0
  36. data/ext/ae-rad/ae-rad.rb +56 -0
  37. data/{lib → ext/ae-rad/lib}/tk/al-tk.rb +21 -110
  38. data/{lib → ext/ae-rad/lib}/tk/al-tk.res.rb +0 -0
  39. data/{lib → ext/ae-rad/lib}/tk/al-tkarcadia.rb +26 -26
  40. data/{lib → ext/ae-rad/lib}/tk/al-tkcustom.rb +70 -70
  41. data/{lib → ext/ae-rad/lib}/tkext/al-bwidget.rb +194 -194
  42. data/{lib → ext/ae-rad/lib}/tkext/al-iwidgets.rb +25 -25
  43. data/{lib → ext/ae-rad/lib}/tkext/al-tile.rb +173 -173
  44. data/{lib → ext/ae-rad/lib}/tkext/al-tktable.rb +0 -0
  45. data/ext/ae-ruby-debug/ae-ruby-debug.conf +7 -4
  46. data/ext/ae-ruby-debug/ae-ruby-debug.rb +278 -142
  47. data/ext/ae-search-in-files/ae-search-in-files.conf +15 -0
  48. data/ext/ae-search-in-files/ae-search-in-files.rb +284 -0
  49. data/ext/ae-shell/ae-shell.rb +88 -8
  50. data/ext/ae-shell/sh.rb +7 -0
  51. metadata +93 -79
  52. data/base/a-contracts-work.rb +0 -219
  53. data/base/a-ext.rb +0 -280
  54. data/ext/ae-debug/ae-debug.conf +0 -7
  55. data/ext/ae-debug/ae-debug.rb +0 -601
  56. data/ext/ae-debug/debug1.57.rb +0 -998
data/conf/arcadia.conf CHANGED
@@ -1,203 +1,130 @@
1
- # Configure Arcadia file
2
- #
3
- # DO NO EDIT THIS FILE
4
- # instead create ~/.arcadia/arcadia.conf to edit
5
- #
6
-
7
-
8
- toolbar_buttons=file,run,sys
9
-
10
- toolbar_buttons.file = new,open,save
11
- #toolbar_buttons.file = new,open,save,prev,next
12
-
13
-
14
- toolbar_buttons.file.new.name=new
15
- toolbar_buttons.file.new.hint=New file
16
- toolbar_buttons.file.new.image=PAGE_WHITE_ADD_GIF
17
- toolbar_buttons.file.new.action=editor->open_buffer
18
-
19
- toolbar_buttons.file.open.name=open
20
- toolbar_buttons.file.open.hint=Open file
21
- toolbar_buttons.file.open.image=OPEN_GIF
22
- toolbar_buttons.file.open.action=main.action.open_file
23
-
24
-
25
- toolbar_buttons.file.save.name=save
26
- toolbar_buttons.file.save.hint=Save current file
27
- toolbar_buttons.file.save.image=BACKUP_GIF
28
- toolbar_buttons.file.save.action=editor->raised->save
29
-
30
- toolbar_buttons.file.prev.name=prev
31
- toolbar_buttons.file.prev.hint=Prev
32
- toolbar_buttons.file.prev.image=BOOK_PREVIOUS_GIF
33
- toolbar_buttons.file.prev.action=editor->bookmark_prev
34
-
35
- toolbar_buttons.file.next.name=next
36
- toolbar_buttons.file.next.hint=Next
37
- toolbar_buttons.file.next.image=BOOK_NEXT_GIF
38
- toolbar_buttons.file.next.action=editor->bookmark_next
39
-
40
-
41
- toolbar_buttons.run=runcurr,runlast,debugcurr,debuglast,debugquit
42
-
43
- toolbar_buttons.run.runcurr.name=run
44
- toolbar_buttons.run.runcurr.hint=Run current
45
- toolbar_buttons.run.runcurr.image=RUN_CURRENT_GIF
46
- toolbar_buttons.run.runcurr.action=shell->run_current
47
-
48
-
49
- toolbar_buttons.run.runlast.name=run
50
- toolbar_buttons.run.runlast.hint=Run last
51
- toolbar_buttons.run.runlast.image=RUN_LAST_GIF
52
- toolbar_buttons.run.runlast.action=shell->run_last
53
-
54
- toolbar_buttons.run.debugcurr.name=debug
55
- toolbar_buttons.run.debugcurr.hint=Debug current
56
- toolbar_buttons.run.debugcurr.image=DEBUG_CURRENT_GIF
57
- toolbar_buttons.run.debugcurr.action=ruby-debug->debug_current
58
-
59
-
60
- toolbar_buttons.run.debuglast.name=debug
61
- toolbar_buttons.run.debuglast.hint=Debug last
62
- toolbar_buttons.run.debuglast.image=DEBUG_LAST_GIF
63
- toolbar_buttons.run.debuglast.action=ruby-debug->debug_last
64
-
65
-
66
-
67
- toolbar_buttons.run.debugquit.name=debugquit
68
- toolbar_buttons.run.debugquit.hint=Quit debug
69
- toolbar_buttons.run.debugquit.image=DEBUG_QUIT_GIF
70
- toolbar_buttons.run.debugquit.action=ruby-debug->debug_quit
71
-
72
-
73
- toolbar_buttons.sys=exit
74
- toolbar_buttons.sys.exit.name=exit
75
- toolbar_buttons.sys.exit.hint=Exit from Arcadia
76
- toolbar_buttons.sys.exit.image=EXIT_STORY_GIF
77
- toolbar_buttons.sys.exit.action=arcadia->do_exit
78
-
79
- toolbar_show=yes
80
-
81
- #:::::::::::::::: Libraries group ::::::::::::::::::::::<begin>
82
-
83
- # this section is for the components pallets of the wrapped class
84
-
85
- libraries=tk,tkCustom
86
- #libraries=tk,tkBWidget,tkCustom,tkArcadia
87
- #win::libraries=tk,tkBWidget,tkCustom, tkIWidget
88
- # tk library properties
89
- libraries.tk.name=Tk
90
- libraries.tk.source=lib/tk/al-tk.rb
91
- #libraries.tk.collection.class=AWClassesTk
92
- libraries.tk.collection.class=ArcadiaLibTk
93
- libraries.tk.action=
94
- libraries.tk.require=tk
95
-
96
- # tk BWidget library properties
97
- libraries.tkBWidget.name=Tk BWidget
98
- libraries.tkBWidget.source=lib/tkext/al-bwidget.rb
99
- libraries.tkBWidget.collection.class=ArcadiaLibBWidget
100
- libraries.tkBWidget.action=
101
- libraries.tkBWidget.require=tk
102
-
103
- # tk Tile library properties
104
- libraries.tkTile.name=Tk Tile
105
- libraries.tkTile.source=lib/tkext/al-tile.rb
106
- libraries.tkTile.collection.class=ArcadiaLibTkTile
107
- libraries.tkTile.action=
108
- libraries.tkTile.require=tk
109
-
110
-
111
- # tk IWidget library properties
112
- libraries.tkIWidget.name=Tk IWidget
113
- libraries.tkIWidget.source=lib/tkext/al-iwidgets.rb
114
- libraries.tkIWidget.collection.class=AWClassesTkIWidget
115
- libraries.tkIWidget.action=
116
- libraries.tkIWidget.require=tk
117
-
118
-
119
- # tk Custom library properties
120
- libraries.tkCustom.name=Tk Custom
121
- libraries.tkCustom.source=lib/tk/al-tkcustom.rb
122
- libraries.tkCustom.collection.class=ArcadiaLibTkCustom
123
- libraries.tkCustom.action=
124
- libraries.tkCustom.require=tk
125
-
126
- # tk Arcadia library properties
127
- libraries.tkArcadia.name=Tk Arcadia
128
- libraries.tkArcadia.source=lib/tk/al-tkarcadia.rb
129
- libraries.tkArcadia.collection.class=ArcadiaLibArcadiaTk
130
- libraries.tkArcadia.action=
131
- libraries.tkArcadia.require=tk
132
-
133
-
134
- #:::::::::::::::: Libraries group ::::::::::::::::::::::<end>
135
-
136
- #:::::::::::::::: splash group ::::::::::::::::::::::<begin>
137
- splash.title.font=Courier 30 bold
138
- splash.subtitle.font=Courier 12 bold
139
- splash.version.font=Courier 10
140
- splash.credits.font=Courier 10
141
- splash.banner.font=Courier 8 italic
142
-
143
- win::splash.title.font=Ariel 26 bold
144
- win::splash.subtitle.font=Ariel 8 bold
145
- win::splash.version.font=Ariel 8
146
- win::splash.credits.font=Ariel 8
147
- win::splash.banner.font=Ariel 8 italic
148
-
149
- #:::::::::::::::: splash group ::::::::::::::::::::::<begin>
150
-
151
- #:::::::::::::::: main group ::::::::::::::::::::::<begin>
152
- main.mainmenu.font=courier 12
153
- freebsd::main.mainmenu.font=courier 12
154
- win::main.mainmenu.font=Ariel 8
155
- main.font=courier 12
156
- main.font.bold=courier 12 bold
157
- freebsd::main.font=courier 12
158
- freebsd::main.font=courier 12 bold
159
- win::main.font=Ariel 8
160
- win::main.font.bold=Ariel 8 bold
161
- main.component.font=times 8
162
- win::main.component.font=Ariel 8
163
- #:::::::::::::::: main group ::::::::::::::::::::::<end>
164
-
165
- #:::::::::::::::: inspector group ::::::::::::::::::::::<begin>
166
- inspectors.tabs.font=courier 12
167
- win::inspectors.tabs.font=Ariel 8
168
- freebsd::inspectors.tabs.font=courier 12
169
- inspectors.tabs.side=top
170
- inspectors.inspector.tabs.font=courier 12
171
- freebsd::inspectors.inspector.tabs.font=courier 12
172
- win::inspectors.inspector.tabs.font=Ariel 8
173
- inspectors.inspector.tabs.side=top
174
- inspectors.inspector.key.font=courier 12
175
- inspectors.inspector.value.font=courier 12
176
- freebsd::inspectors.inspector.key.font=courier 12
177
- freebsd::inspectors.inspector.value.font=courier 12
178
- win::inspectors.inspector.key.font=Ariel 8
179
- win::inspectors.inspector.value.font=Ariel 8
180
- inspectors.inspector.tree.font=courier 12
181
- win::inspectors.inspector.tree.font=Ariel 8
182
-
183
- inspectors.debug.tabs.font=courier 12
184
- inspectors.debug.tabs.font.bold=courier 12 bold
185
- freebsd::inspectors.debug.tabs.font=courier 12
186
- freebsd::inspectors.debug.tabs.font.bold=courier 12 bold
187
- win::inspectors.debug.tabs.font=courier 8
188
- win::inspectors.debug.tabs.font.bold=courier 8 bold
189
- #:::::::::::::::: inspector group ::::::::::::::::::::::<end>
190
-
191
-
192
-
193
- #:::::::::::::::: all ::::::::::::::::::::::<begin>
194
- all.frame.title.font=courier 12
195
- all.frame.title.font.bold=courier 12 bold
196
- all.frame.title.font.italic=courier 12 italic
197
- freebsd::all.frame.title.font=courier 12
198
- freebsd::all.frame.title.font.bold=courier 12 bold
199
- freebsd::all.frame.title.font.italic=courier 12 italic
200
- win::all.frame.title.font=courier 9
201
- win::all.frame.title.font.bold=courier 9 bold
202
- win::all.frame.title.font.italic=courier 9 italic
203
- #:::::::::::::::: all ::::::::::::::::::::::<end>
1
+ # Configure Arcadia file
2
+ #
3
+ # DO NO EDIT THIS FILE
4
+ # instead edit ~/.arcadia/arcadia.conf
5
+ #
6
+
7
+ user_toolbar.contexts=file,run
8
+ #,sys
9
+ user_toolbar.file=new,open,save
10
+ user_toolbar.file.new.name=new
11
+ user_toolbar.file.new.hint=New file
12
+ user_toolbar.file.new.image_data=PAGE_WHITE_ADD_GIF
13
+ user_toolbar.file.new.event_class=NewBufferEvent
14
+
15
+ user_toolbar.file.open.name=open
16
+ user_toolbar.file.open.hint=Open file
17
+ user_toolbar.file.open.image_data=OPEN_GIF
18
+ user_toolbar.file.open.event_class=OpenBufferEvent
19
+
20
+ user_toolbar.file.save.name=save
21
+ user_toolbar.file.save.hint=Save current file
22
+ user_toolbar.file.save.image_data=BACKUP_GIF
23
+ user_toolbar.file.save.event_class=SaveBufferEvent
24
+
25
+ user_toolbar.run=runcurr,runlast,debugcurr,debuglast,debugquit
26
+
27
+ user_toolbar.run.runcurr.name=run_current
28
+ user_toolbar.run.runcurr.hint=Run current
29
+ user_toolbar.run.runcurr.image_data=RUN_CURRENT_GIF
30
+ user_toolbar.run.runcurr.event_class=RunRubyFileEvent
31
+
32
+ user_toolbar.run.runlast.name=run_last
33
+ user_toolbar.run.runlast.hint=Run last
34
+ user_toolbar.run.runlast.image_data=RUN_LAST_GIF
35
+ user_toolbar.run.runlast.event_class=RunRubyFileEvent
36
+ user_toolbar.run.runlast.event_args={'file'=>"*LAST"}
37
+
38
+ user_toolbar.run.debugcurr.name=debug
39
+ user_toolbar.run.debugcurr.hint=Debug current
40
+ user_toolbar.run.debugcurr.image_data=DEBUG_CURRENT_GIF
41
+ user_toolbar.run.debugcurr.event_class=StartDebugEvent
42
+
43
+ user_toolbar.run.debuglast.name=debug
44
+ user_toolbar.run.debuglast.hint=Debug last
45
+ user_toolbar.run.debuglast.image_data=DEBUG_LAST_GIF
46
+ user_toolbar.run.debuglast.event_class=StartDebugEvent
47
+ user_toolbar.run.debuglast.event_args={'file'=>"*LAST"}
48
+
49
+ user_toolbar.run.debugquit.name=debugquit
50
+ user_toolbar.run.debugquit.hint=Quit debug
51
+ user_toolbar.run.debugquit.image_data=DEBUG_QUIT_GIF
52
+ user_toolbar.run.debugquit.event_class=StopDebugEvent
53
+
54
+ e.user_toolbar.contexts=sys
55
+ e.user_toolbar.sys=exit
56
+ e.user_toolbar.sys.exit.name=exit
57
+ e.user_toolbar.sys.exit.hint=Exit from Arcadia
58
+ e.user_toolbar.sys.exit.image_data=EXIT_STORY_GIF
59
+ e.user_toolbar.sys.exit.event_class=QuitEvent
60
+
61
+
62
+ user_toolbar_show=yes
63
+
64
+ #:::::::::::::::: splash group ::::::::::::::::::::::<begin>
65
+ splash.title.font=Courier 30 bold
66
+ splash.subtitle.font=Courier 12 bold
67
+ splash.version.font=Courier 10
68
+ splash.credits.font=Courier 10
69
+ splash.banner.font=Courier 8 italic
70
+
71
+ win::splash.title.font=Ariel 26 bold
72
+ win::splash.subtitle.font=Ariel 8 bold
73
+ win::splash.version.font=Ariel 8
74
+ win::splash.credits.font=Ariel 8
75
+ win::splash.banner.font=Ariel 8 italic
76
+ #:::::::::::::::: splash group ::::::::::::::::::::::<end>
77
+
78
+ #:::::::::::::::: main group ::::::::::::::::::::::<begin>
79
+ main.mainmenu.font=courier 11
80
+ freebsd::main.mainmenu.font=courier 12
81
+ win::main.mainmenu.font=Ariel 8
82
+ main.font=courier 11
83
+ main.font.bold=courier 11 bold
84
+ freebsd::main.font=courier 12
85
+ freebsd::main.font=courier 12 bold
86
+ win::main.font=Ariel 8
87
+ win::main.font.bold=Ariel 8 bold
88
+ main.component.font=times 8
89
+ win::main.component.font=Ariel 8
90
+ #:::::::::::::::: main group ::::::::::::::::::::::<end>
91
+
92
+ #:::::::::::::::: inspector group ::::::::::::::::::::::<begin>
93
+ inspectors.tabs.font=courier 11
94
+ win::inspectors.tabs.font=Ariel 8
95
+ freebsd::inspectors.tabs.font=courier 12
96
+ inspectors.tabs.side=top
97
+ inspectors.inspector.tabs.font=courier 11
98
+ freebsd::inspectors.inspector.tabs.font=courier 12
99
+ win::inspectors.inspector.tabs.font=Ariel 8
100
+ inspectors.inspector.tabs.side=top
101
+ inspectors.inspector.key.font=courier 11
102
+ inspectors.inspector.value.font=courier 11
103
+ freebsd::inspectors.inspector.key.font=courier 12
104
+ freebsd::inspectors.inspector.value.font=courier 12
105
+ win::inspectors.inspector.key.font=Ariel 8
106
+ win::inspectors.inspector.value.font=Ariel 8
107
+ inspectors.inspector.tree.font=courier 11
108
+ win::inspectors.inspector.tree.font=Ariel 8
109
+
110
+ inspectors.debug.tabs.font=courier 11
111
+ inspectors.debug.tabs.font.bold=courier 11 bold
112
+ freebsd::inspectors.debug.tabs.font=courier 12
113
+ freebsd::inspectors.debug.tabs.font.bold=courier 12 bold
114
+ win::inspectors.debug.tabs.font=courier 8
115
+ win::inspectors.debug.tabs.font.bold=courier 8 bold
116
+ #:::::::::::::::: inspector group ::::::::::::::::::::::<end>
117
+
118
+
119
+
120
+ #:::::::::::::::: all ::::::::::::::::::::::<begin>
121
+ all.frame.title.font=courier 11
122
+ all.frame.title.font.bold=courier 11 bold
123
+ all.frame.title.font.italic=courier 11 italic
124
+ freebsd::all.frame.title.font=courier 12
125
+ freebsd::all.frame.title.font.bold=courier 12 bold
126
+ freebsd::all.frame.title.font.italic=courier 12 italic
127
+ win::all.frame.title.font=courier 9
128
+ win::all.frame.title.font.bold=courier 9 bold
129
+ win::all.frame.title.font.italic=courier 9 italic
130
+ #:::::::::::::::: all ::::::::::::::::::::::<end>
data/conf/arcadia.res.rb CHANGED
@@ -1,1325 +1,1332 @@
1
- A_LOGO_EXT_GIF=<<EOS
2
- R0lGODlhaAFWAIACAAAAAP///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAs
3
- AAAAAGgBVgAAAv6Ej6nL7Q+jnLTai7PevPsPhuJIluaJpmXAtu4Lq/JM1/aN
4
- J/DOt/kPDAqHuJ4xRkwql8ym4gh1OafUqpUUzQau3K73+9RmweSymSgen9fs
5
- timtdcvn9ApcXM/r9/f4/g941idl0BN4iHgVVbij05hIsTXhA1kZeYSAlMkD
6
- Iunm+UBpORpqFCa6qekBisEyxOrgSjq7gHkqe4u6yoH7A0sLPGlaq5tK+PFb
7
- mkzci2XRHAwJxfBCrapCeZ0L7chtffxd3AAezWbL7A3wiAwxTN0dzrgsT44+
8
- f0AoXv7lbh9bvQHUPXn40r37lmqcMQkGCwpsuI/KOXvztNnZ1A4ivv6NGWsp
9
- TBhBI7eBEZ30o/iv3sWCHRmSVGYtjMtWGksyObktpT6XrlRinHmJk0eZIUni
10
- tGnlqDGDFoWtiwmUZzZvv2pCRLITqUmhOpkCpNkzqzpPA0cSO4v2Z8t/abUq
11
- 4houa9Oi3e5JutpsZNVkea021OvWC1yKFb/ayTe35lhZKvuKE2U4HtulgbkY
12
- iivXZ0bEkReLXapvEWGfl7c9rTyldN3O9BSvvtuY9dpxspkR5DsYNGowOJXW
13
- RsPq5Q3hu3kPMjxXiWtfxfUcV9N8M/HoltMs9nyauvZKeK5j/709PB81U3OL
14
- Pw9IdPnTn9G7Nz5svSrw7+t3sSUfeXL7/P6rxGesmnn9DZiEY1gBCJdqBC4Y
15
- hH7PBScggxJiM9+DEGY3YYYoPGXhhftpCCIyFT4ImmYhnshLduW1FqCCKL6Y
16
- InsIEuQdKsjBiGMHJ61Y44yt5QikiC3CRiSN8gWJZIyl8chkWO0lCSVtJcLk
17
- nUPLRYllV9OtlmWXOtIXl5diBvShbmOeCRaYZaLJJmHKPNlmnFNiJmedh3W2
18
- pp16sojglXvqOc2fgjqV56CGRmhooq/5qWidLjYKqVKQDgpQoZOOOSKcl3qp
19
- jaWbQtlUcpp+muFvGHpGKpIfDgZmqhK2ap2rr+KmqR+yMgiOp9DdSqBoFwTK
20
- a68T/fposPZJGi6Up8ZGV+ydrS4rXrNSPQtteIgWpWy12mVrprYTcguPtxqa
21
- KG655p6LbrrqDlEAADs=
22
- EOS
23
-
24
- A_LOGO_RUBY_GIF=<<EOS
25
- R0lGODlhPABWAMIFALgzM7k0NL04OOKpqea0tIhVVYhVVYhVVSH+FUNyZWF0
26
- ZWQgd2l0aCBUaGUgR0lNUAAsAAAAADwAVgAAA/4Iutz+MMpJq72Y6M27xyDj
27
- jeQWhmX6nZbqciz1zkQs0bQN4bPu8DmfAogTAohBHZIjaJZ8r0CA1KySoCqp
28
- 1FO1Xm1Z7ZbZdY7AKbG2U/Z20CX1etN2w04u+ZheP+PTelNkfSsggIFsdWZ3
29
- GYd6XIoChS1xgXt8ipMVjo+JmW+NJJaXGpGSoBeVo5CfjJQjo4KehK6vHbEj
30
- ppqbooi5kaiGvXIlrSZ/w3NUtBpwS5intSjPL0JA0dFf1jSLi35G3NjZu1gq
31
- 3ePBAAPrSubiKQzr8u3F708L8vnOkHzw+PnzLLATsazfPQUA9U0ASJBajXgJ
32
- Bz6I2JBag4gSHVBc4OCwGcSNExNWfPYR5EWTQzwe6/EPIwSMAxqsVEnz4TGE
33
- ChG+hCmzZkqbPnEGpAAz40ygSH8KzbiwaEylR4IeVZdT4NOlQ3cEbck0hkiv
34
- VX18DcHQCNesRMuaPYt2Z9i1WLuefAs37lWNauuGzBtXb9qvfP1KcHpX8N+x
35
- hi+4TAxiMWOrKB9HKCq5aUDElfuyzbx5btvEmDu7NRu45GeqchuX9hx2NQbX
36
- rAlXSA0bL+HCk7OGPkx5tmwWv3lHVu3Yd3GwwwcfB57c8u7XyyHXFp5a8XTh
37
- Yq8bN0KXs/fv4L0nAAA7
38
- EOS
39
-
40
- A_LOGO_SPUT_GIF=<<EOS
41
- R0lGODlhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
42
- 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
43
- S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
44
- J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
45
- 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
46
- 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
47
- ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
48
- Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
49
- eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
50
- Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
51
- EOS
52
-
53
- A_LOGO_X_GIF=<<EOS
54
- R0lGODdhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
55
- 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
56
- S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
57
- J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
58
- 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
59
- 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
60
- ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
61
- Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
62
- eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
63
- Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
64
- EOS
65
-
66
- A_LOGO_GIF=<<EOS
67
- R0lGODlhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
68
- 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
69
- S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
70
- J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
71
- 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
72
- 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
73
- ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
74
- Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
75
- eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
76
- Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
77
- EOS
78
-
79
- A_WIDGET_GIF=<<EOS
80
- R0lGODlhGQAZAOcAAAABAAIABQACAAYAAAQABgABDgEEAAAEBwUCBwIBGQkA
81
- DwMGAQYDCQYBFQsDAg4CCgIJDAkGCwYJBQYHEgoEHxAAJQ4HBQ4EGwkMAA8H
82
- FBIFGAcNEAoLFREFIw4LEBYFHg4KGhYHFQwOCxQJEBEMChMMFxMOIRQRFRES
83
- GhgRHxsQIxcXDx8RIRYYFRoWFSAXGBsZHiEWKiQZJCIWOiEdKysiKisgOi0h
84
- My0kMzQuPDQvQzkuSTsuUD8wQjw0Qzw4Qz07P0M3TUQ3VkA6VEU9QkI/S0Q6
85
- Ykk8U0s7WEg+WUZAVkpATUo9X1BDZU5JTVRDYU9JWFBKVE9JXlNHXldHWlpN
86
- ZFlPX1VSYV5OYFdTXVxTWVtQbF5UZV5Va2ZSa2BUd2NSfGFbYGNbamZYdmlZ
87
- a2xXcWVbcmlncW9kdXBlfHZleXBqb3Jlh3Npe3lrg3RydnRxgXlsk3twgndx
88
- iHpwjXl0f4BujoJ4ioF3lYR3kH98jIN3nYJ9gYJ8h4x9nIp/l4qAkpGAqYuH
89
- mI2JjZCGmI6Ik42HnpWGn5OTnZmRm52OrpaWmZuSpJqSqqGSrJ+Su6OfpqKe
90
- r6ecsKGjoKebu6qbtaijorKgtLOevailtqulsK6jtq6mvq+prrWmwLCrtrGn
91
- ybOqvLiyvsCxvMGvxLuxy7a4tbyyxbm2usOx1sG9wcm5xMK9yMW8z8TAvsa+
92
- 2Mm83cbDyMnCzs++1svD1c/E787L0M/Ny9HK1tjJ1dnH3tLK49DM3s7P2dbK
93
- 3tbI6drT39XX4drX1drY3OTR59zU7d/S8+HQ++TV4eDW6ePU7+Ha5uPh5eff
94
- 6+Xk2+zc+Orf8+jf+ePk7vPb+d/o6uve/+bm4+jl6ufk9u3k8PLh/O7m/+3q
95
- 7/Xl/+zs9/Tq8fLq9+3v7PTp/ffp9/Du8vHt//zo/uj19vPy6fjs//Tx9vfx
96
- 7/nv9fHy/PL08ffw/P/w/vj1+vX2//v0//b59f339fr68Pr4/fv76//3/f/7
97
- +f37//n+///+9f/9//3//CH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAsAAAA
98
- ABkAGQAACP4ACQAYSLCgwYMEBSYkIKAPOH71/u3bR6CigIsCCC4oeCCCqn/x
99
- 6P3rp44dOQIeMGYcuJHggQP8+O2T94+cK337YjFccJHggIIEgu3zl0hBLlYH
100
- BsTjxxCjRo7o7O1rsEAENgIi6Olr2nPgT4Ig9N1D42HBgH0HBBDYB0wAz5UA
101
- HBTUsC+fArcOKAo4wArcAAldAbQcSEBdvAYVc8mrSABSKLeBBwMgEIbbvkKF
102
- 9MmLR0CavAgEIARWOPAAgSzu/r2DNs1Mvn2qKnqoSBDu5Aw0EP2b5wiekdeo
103
- CsgDRtsr0EPjCNTz523evH39XCmYta/OBJcFFcCDRgBbEWzynNjoy0ZLgzlJ
104
- VjIkLHglXb4EXwq8i4aiHCgr8QoxXk9wQsV0yBCgQUUJmKGPDhbBJRkBAxAw
105
- QSLbmDECAVtsswYIXGFHUAE8EfAACIfAkwsmKkSwQIK1FcThAmYNIEAl+/ih
106
- AIMoDmSbAC4e4AABhzzSQR7K9BBBBBm4RZBcGjHGIzwFTFAAI/n0kEAcSpB2
107
- AFAKyKHAFfOwQcBLBLhhDjPlFECaZAIoIAY86eDwgGh7ETCGNVAw9JRLHkoy
108
- D4OmuUhAEOk04CJBEDCIo0VfMmbaiQuYVhwAAQEAOw==
109
- EOS
110
-
111
- ARROW_GIF=<<EOS
112
- R0lGODlhGQAZAOMLADG60Eq8znzAy7bFxnPAy7nGxsfHxcbHxcXHxbfGxsDG
113
- xv///////////////////yH5BAEAAA8ALAAAAAAZABkAAARNEMhJq7046827
114
- /xsxPGRpktt4rk+YsCc4rTJAIqYMg6ZiGy0P6YD6BTkxyQPYGRaVz0xyFr1M
115
- qUfrg5idjAYaVXciImhE45p6zW67OxEAOw==
116
- EOS
117
-
118
- ARROW_LEFT_GIF=<<EOS
119
- R0lGODlhCQAJAPIAAP////DMr9loPdJMHc49DMwzAAAAAAAAACwAAAAACQAJ
120
- AAADHQghVCRBjULpWBVURnVtBSCOTlc5QrYF03kp3BMlADs=
121
- EOS
122
-
123
- ARROW_RIGHT_GIF=<<EOS
124
- R0lGODlhCQAJAPIAAP///8ziw4qyRHelIG2eDWaZAP///wAAACH5BAEAAAYA
125
- LAAAAAAJAAkAAAMdaCFUJEGNQulYFVRWi3YeIIpUk5XCSUFTdyncEyUAOw==
126
- EOS
127
-
128
- BACKUP_GIF=<<EOS
129
- R0lGODlhFAAUAOczAAAAAAMDAwQEBAoKCRAQERgYGB8gHygoKDAwMDg4OUBA
130
- QUREREdISDNEZk1OTlNTVFZWVVpZWWJiYmRkZGZmZmpqa3Jycnd3d3t7enx8
131
- fICAgIGBgYSEhIWFhYaGhoiIiJmZmWaIzL+/v5m7/93d3czd//y5/+zs7fDw
132
- 8PPz9Pb39/j5+Pn5+vv7+/v8/Pz8/f39/f7+/v7//////wAAAAAAAAAAAAAA
133
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
134
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
135
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
136
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
137
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
138
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
139
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
140
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
141
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
142
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
143
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
144
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
145
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
146
- AAAAAAAAAAAAAAAAAAAAACH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
147
- CgD/ACwAAAAAFAAUAAAInAD/CRxIsKDBgwgTKkzooSGHDRkuWFj4z8OMixgr
148
- TFjYAeMMGS8kzICgUANGGC1WRHjggEFCDDNiuGChIgWKEyIUIAzB84PPDzwb
149
- iEiws0QDjw1CABCBoGgDElBJNACw9EDREA2yJlUqwkDREWDDci3wdSqABiO4
150
- EvgKYAEIAGmXDmC7gAJcrgJ2xqVglyeAAAASUh1MmKLhwwgDAgA7
151
- EOS
152
-
153
- BOOK_NEXT_GIF=<<EOS
154
- R0lGODlhEAAQAMZqAAEuWwMxXgY0YQc1Ygo4ZQs5Zg08aQ48aBI/bBFAbRVE
155
- cRZEcBZFchpIdBlJdh1MeSFRfSRjISRUgiZXhClpJSlahyxdizNjkTJkkjZl
156
- kDV4Lzlokzhpl0KIO0mLREqMRUmRQUp+rFCRSk6DsU+ZR16Hq1ahTVydVV2L
157
- s2KLr16hWWaQtGKjWlypU3OPqnSQq2qUuGqVu2KxWGi4XXSgxm2+YXumy3HD
158
- ZXy+dnTHaKWlpYDBeqampqenp4LCfYfFgZPLi7W1tZjOkJrPk53QlJ/Slr29
159
- vaHTmKPUmq/E16XVnKfWnrHG2anXoKvYosXFxcbGxq7apcnJyczMzNPT09TU
160
- 1NXV1dLY3dfX19jY2Nzc3N/f3+Pj49/l6+fn5+np6erq6u7u7vHx8fLy8vPz
161
- 8/T09PX19fj4+Pn5+fz8/P//////////////////////////////////////
162
- /////////////////////////////////////////////////yH+FUNyZWF0
163
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsoB/goOEhYaH
164
- hDY0gjEwKyklGIZnTCMhSWiZaBYcfySDUGNXXWlTUkZoExd/IB2CYFZBW2Y5
165
- OTc1MzItLCcaf09VPVm0UU5LSEVDPz4iFF9VPMM3TUpHREJAOzgfEU9U0WW4
166
- uiYkICoeEX9eVDpYZWFgWmcFDX8U6X9nYlxkZ2hnX84MWPAH358KEl64YJDA
167
- AAEBARAUQoECwgOKGFEcKIRwQwaGDiECMCTBgQIJiFKqDAQAOw==
168
- EOS
169
-
170
- BOOK_PREVIOUS_GIF=<<EOS
171
- R0lGODlhEAAQAMZqABFAbRVEcRZFchlJdh1MeSBeHSFRfSRjISRUgilpJSla
172
- hy9wKi5gjTNlkzZlkDlokzhrmDuANT1wnkKIO0J2o0N3pUl9q0p+q0p+rE6D
173
- sU+DsE+ZR1SItlahTV2Ls1iNulypU3OPqnSQq2qUuGCXxWqVu2SqW2OZxmOa
174
- yGKxWGSbyWi4XXSgxnumy32pznHDZXu+cXy+doCs0HTHaIKu06WlpYDBeqam
175
- poPBeqenp4LCfYfFgYbIe4nGgofJfIrMf47Jh4vNgJDKiZXMjrW1tZjOkJ3Q
176
- lJ/SlqHTmKPUmq/E16XVnKfWnrHG2avYosbGxsrKysvLy8zMzM3NzdPT09TU
177
- 1NXV1dLY3dfX19jY2N/f3+Pj49/l6+fn5+3t7e7u7u/v7/Hx8fLy8vPz8/T0
178
- 9PX19fj4+Pn5+fr6+vz8/P//////////////////////////////////////
179
- /////////////////////////////////////////////////yH+FUNyZWF0
180
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsYB/goOEhYaH
181
- iIIqNDIuLSyCJSODM38nKGiZZk0ZGEpngjMvliRoU1BPYldcaVJ/M0E+Kykd
182
- GxMRC1ZEWmVhMz9OTElHQ0I7OglVOVllUS88S0hGRUA9NjEHVTfMYH8rMDgm
183
- trgJBVTbZFCCIB1/HxZnYV5dVDVYZF+DG38cFWf/ZsJsGWMGFCENEiA0YKAA
184
- gYgQAgAUuuChokUDBCoWopBwYcMHDiImEoRgQAAEIw8FAgA7
185
- EOS
186
-
187
- CLOSE_GIF=<<EOS
188
- R0lGODlhCQAJAJEAAImCejYtJE1CN////ywAAAAACQAJAAACF4yPoismAwQY
189
- LUgIq5pR8+xkk8YoyBkUADs=
190
- EOS
191
-
192
-
193
- CLOSE_PAGE_GIF=<<EOS
194
- R0lGODlhYQANAIQaAAAAADU1FTo6Gz8/IEFBI0NDJkhIK0pKLk1NMVRUOYaG
195
- c4iIdYuLeI2NfY+PfpCQf5mZiZubjK+vo7W1q7e3sMjIwM/PyNTUz9vb193d
196
- 2v///////////////////////yH5BAEAAB8ALAAAAABhAA0AAAXA4CeOZGme
197
- aKqubKsySCDPdG3feK7v/I0oJksBgnEZj8hkCQMZVEgHiXJKrZ4mhJGjUQJ4
198
- RQCTNwwel7+k8Zf8CZvb75Fajoaj5yMIQ5S4pOVtXYBsYCtsh4WBKIiMgicZ
199
- ByJZf5SAiW9qhJZ2d3SanGdrdpyaAnx+m26OioOGqYmVsLCqhZ8ikCIOD113
200
- eJhxeKuMa3Gbs6HIIxELI1FWz9BIEwMkFgMQFNHa2yQUEU4mDAY95OXm5zoG
201
- QNzs7SohADs=
202
- EOS
203
-
204
- COPY_GIF=<<EOS
205
- R0lGODlhFAAUAKUBABAQEP///5mZmRhCmWZmZnt7iAghZnuQuZm5/1qA2sza
206
- /8zMzFJmiFJSUrm5uXuZ2jMzM1p7wkpzwnuIoTNKgOPr/3t7e2trgLnS/+Pj
207
- 46m52nuh87GxsbHM/2uQ42t7kJCp2kJKSiEhIXNzc4iIiHuImXuZzNrj/9ra
208
- 2tLS2nuQsfPz87nC2hgYGGtra3uAiHuQwmaI2lpaWnuZ4zk5OXuIqevr/4CA
209
- gHuAgGtzgOvr63uh/3OQ6+vz/////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
210
- R0lNUAAh+QQBCgA/ACwAAAAAFAAUAAAGrsCfcEgsGo/II2lJsoxGSSIpQKUS
211
- GlHhFMUNyEKhrKW6KjvC0VFgwfZ4YoPdZoN0BVY63WaFElBnJkcEARyFCSgs
212
- HycBDyYwRjIBOhkZPDooUz09GAdGDQECoRILGgwKpxgqRiEBlCgxOikFPTYV
213
- GDVGNA67DhEoIBQdwhgTRhAiIi0ABiQ5FxUVJxglWSYBCNjYGC/VAdAn4NtZ
214
- Bxjl5uJRKjUTJS/uLxZZ8vNHQQA7
215
- EOS
216
-
217
- CURSOR_GIF=<<EOS
218
- R0lGODlhEAAQAOdnAAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoK
219
- CgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZ
220
- GRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygo
221
- KCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3
222
- Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZG
223
- RkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVV
224
- VVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2Rk
225
- ZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNz
226
- c3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKC
227
- goODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGR
228
- kZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6Cg
229
- oKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+v
230
- r7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+
231
- vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3N
232
- zc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc
233
- 3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr
234
- 6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6
235
- +vv7+/z8/P39/f7+/v///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
236
- CgD/ACwAAAAAEAAQAAAIfgD/CRxIsGBBZMUMKvxHLJuvhQWD3atmC+LAXvfe
237
- QXtl8V8ueuzaLTtlsZY8dOfUGfMEMRY8cuLEnQtmaWErd+G+gTtHbpcjhank
238
- lRM3rlKkRokMGSR1rRM0d5EsguL0j1K2YIkgUhXYSh6hjgIRbbvVB+w/Vdvy
239
- mOWDpw7BgAA7
240
- EOS
241
-
242
- DEBUG_GIF=<<EOS
243
- R0lGODlhEAAQANUAALGusR1ieR1jeR1jeB9leR9keCBleSJmeiFneSRoeidq
244
- eydreiptfCpteytufCxvey1wfDhWUzFOSiA5Mi1ORThWTjFWSihBOTFORShI
245
- PShFOS1IPSM+MiNBMi1OPS5qIjp8K1ONPJLBf3OnWJbDeZXCeZ/HgabJh67O
246
- ka/OkbXSmdvrzLbSmbjSnLjSncbcrtXnwtvqzOfz28fcr8bbrsfbr8vftNXm
247
- wsfbrv///wAAAAAAAAAAAAAAAAAAAAAAACH5BAEAADkALAAAAAAQABAAAAZ5
248
- wJxwSCwaK8akUDKMKIWADUCISVoklAwEAtBMlQCIrLaF5CaXpGP2Wd1eDwDA
249
- YxFGnIBGTLayuRhfRBgaCjArIjQsJwtJHAkvNjgjKSYJEx5FXggtKighJAdT
250
- TEMUAAQGJyYlIAVGdUITAQMCAU9CHXK2Qxm6Q69JQQA7
251
- EOS
252
-
253
- DEBUG_CURRENT_GIF=<<EOS
254
- R0lGODlhEAAQAOeBAB5XHB9ZHCBcHSFeHiFfHiJhH0RQJiJjHyNlICNmIDtZ
255
- JSRoISRpISVrIiZsIidtIiZuIydwIydxJCN0IChzJCtzJi5xKip3Jip5Ji55
256
- Ki57Ki1+Ki6DKTl9Ni6FKi2JKVhxOzCJKzOHLy2PKDKMLTGNLDqSNVCGOjeV
257
- MmZ/Rj6XODicMzieMzqdMzihMzmhNDmkNDmlNDqmNDqnNT6lODqoNT+lOTuq
258
- Nj6pODyrNjysNj2sODytN1SeTVOfS2CaRj2vN1yfQj2xOHiMX1SiTD6xOGKc
259
- R12gRD6yOF2hQWOdRz6zOF2jQkusRWeeTVemTj+3OkC3OmqhTVmpUmKkV1mq
260
- UWqiTlatUG2jUW+gam+haHOia3CqTXOoV2itYnKsTl+3T3OtT3qmdXayYHuy
261
- WH60XIKvbIC1XoSwcISwcYWxcYK1d4S5YoyzeYy0eH7Ccoy8bZG+d5a/g47D
262
- hZm+hpW/jpm+iZjBhZ3AlKXMjarIl6nLmqjOkKzPlK3UnrjXpMLjr///////
263
- ////////////////////////////////////////////////////////////
264
- ////////////////////////////////////////////////////////////
265
- ////////////////////////////////////////////////////////////
266
- ////////////////////////////////////////////////////////////
267
- ////////////////////////////////////////////////////////////
268
- ////////////////////////////////////////////////////////////
269
- ////////////////////////////////////////////////////////////
270
- ////////////////////////////////////////////////////////////
271
- /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
272
- CgD/ACwAAAAAEAAQAAAIuQD/CRz4D8pAHQQTCkQCRKAMhf+iDORxI8WJFiAU
273
- LhHyb0eNGC/eABoCMQeOJn7+zBkBRk+JEB6KDJRhgw8bMmNUfKBiQCDFGTSu
274
- 5DkThkmVPXU4KBgIwwWLPmW+JHlypwudDRgIrpgCh0sQInKwKLFzgUIEBwJR
275
- eIlzxIcbK0bUZJGQ0ASJNT3aSPmRpgMEiCIm4DHjBI2YBgsQQNSQQcsWCwsE
276
- EhAAscIDBgkOQIRYYMBmiAEAJAwIADs=
277
- EOS
278
-
279
- DEBUG_INTO_GIF=<<EOS
280
- R0lGODlhEAAQAMZgADFzLDN2LjZ5MDd7MTp+NDt/NT6DNz6EOEOJPEWMPkaN
281
- P0iQQEmRQUmRQk6XRk6YRlKVTVCZR1SWTlCaSFKcSlOdSlOeS1SfS1WgTFah
282
- TVudVVqeVVijT16hV1mmUGGjWlypU12qU2apX2CuVmiqYGmrYWqrY2OxWGOy
283
- WWqtY2yuY2a1W2+vZ2a2XGm5Xmq6X3S1bWy8YHe3cW2/Ym6/Ynm4cXi6cH68
284
- dXy/dX7Bd4HAd4TAfYLCe4LCfITBfIXDfoXEfofEf4XHe4fFgYjFgofIfInG
285
- govGg4rHg4vHhYzIhYzKgo/Jh4/JiJDJiZfMjpjOkJnOkJvPk5vQkp3RlJ7R
286
- lqDRl6HTlqLUmaTUm6bVnKbVnafWnqnXoKrYoKvYof//////////////////
287
- ////////////////////////////////////////////////////////////
288
- /////////////////////////////////////////////////yH+FUNyZWF0
289
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHiIB/goOEhYaH
290
- iIIxLisniX80RVdeXFE2HIczS19YWllTVTURhkJdXFY6N09SUCWFL1RbUiEe
291
- GRROSjsIhC0oIyCDDixNSgeIGIINJkhEBIcXE38MCT45MgKGFiokCx9DQD0S
292
- hhUpTElGQTg8GgCGDyJHQz89MBDthwodGwUDAY9/Bpz9G4QNUSAAOw==
293
- EOS
294
-
295
- DEBUG_LAST_GIF=<<EOS
296
- R0lGODlhEAAQAOeSAB9ZHCFeHiJhH0RQJjtZJSZsIidwIx97AB57AyN0IB99
297
- ACF8ASF9ACl0JCtzJiV/ACp5Ji55KiuDAS57Ki9/Ki6DKTWHBy6FKi2JKVhx
298
- OzCJKzOHLzuLDy2PKDKMLTGNLEOPFUWPFzqSNVCGOjeVMkmRG2Z/Rj6XODic
299
- MzieMzqdM1GXITihMzmhNDmkNDmlNFeYKDqmNDqnNT6lODqoNT+lOVubKTuq
300
- Nj6pODyrNjysNj2sODytN1SeTWGdLz2vN16fOlygPFyfQj2xOGOfMWOfMniM
301
- X1SiTD6xOGWfMV2gRD6yOF2hQT6zOF+hQ12jQmehM0usRVemTj+3OmKjRkC3
302
- OmujN2ujOG2jOFmpUmKkV2alSFmqUWilRlatUG+lPW2jUXCqTWitYnKsTnSr
303
- T1+3T3OtT3yvVXayYHuyWH60XIGzWoCzYYC1XoK1Z4W2ZoK1d4W2aoS5Yom4
304
- a466b37Ccoy8bZC8dJG+d5a/g47DhZbBgJW/jpfCgpjBhZjCg53AlJ7FiKHG
305
- iqHHiqXIjaXMjarIl6nKkKjLkKrLkanLmqjOkK3NlKzPlLDOlq3UnrjXpMLj
306
- r///////////////////////////////////////////////////////////
307
- ////////////////////////////////////////////////////////////
308
- ////////////////////////////////////////////////////////////
309
- ////////////////////////////////////////////////////////////
310
- ////////////////////////////////////////////////////////////
311
- ////////////////////////////////////////////////////////////
312
- ////////////////////////////////////////////////////////////
313
- /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
314
- CgD/ACwAAAAAEAAQAAAIzAD/CRz4b8pAHQQTClzyQ2AMhf+qDORxw8QIFRkU
315
- NhnybweNFy3qRDICMQeOKI8g6elQxtAHDReQDIxRY5GcNGhOYNAyQCBFGTO8
316
- FGpj5gkXRXwqEBjogkWKRmrGMJHi50oSChAIoshiJ4yQI3nAFFkDo4GBAgJJ
317
- iMGj5AsWKD7OJCITgqAID3B6WHHEKBGiO4O6WCC4IQEgIozozHnDxs2fIA8I
318
- Tohg4xAhQYHi9NnCQKGDFSVAcACyh4oCiAMFBJDg5ABqggAWICAYEAA7
319
- EOS
320
-
321
- DEBUG_NEXT_GIF=<<EOS
322
- R0lGODlhEAAQAKUrACRjISlpJTV4LzuANUKIO0WIP0mLREqMRUmRQVCRSk+Z
323
- R1ahTVydVV6hWWKjWlypU2KxWGi4XW2+YXHDZXy+dnTHaIDBeoLCfYTDfofF
324
- gYnGgovHhY7Jh5DKiZPLi5XMjpjOkJrPk53QlJ/SlqHTmKPUmqXVnKfWnqnX
325
- oKvYoq7apf//////////////////////////////////////////////////
326
- /////////////////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
327
- R0lNUAAh+QQBCgA/ACwAAAAAEAAQAAAGS8CfcEgsGo/IpHKZVDCHCAKxUplI
328
- IpDHQoFwMATDiip1Ko1Cn84mc0kEhBOUiSQCeTgajIVyAAivWVtRAwUNBn5M
329
- AYhMi0+Oj5BHQQA7
330
- EOS
331
-
332
- DEBUG_OUT_GIF=<<EOS
333
- R0lGODlhEAAQAMZHADl+Mz2CNz6DN0GHOkKJO0WMPkaNP0eOP0ePQEmRQkqS
334
- QkuUQ0yURE6XRlCZR1KcSlSfS1WgTFahTVaiTVmlUFunUVypUl+sVV+tVWiq
335
- YWmrYWKxWGOxWGyuZGW1W26vZWa2XGi4XWq7X2u7YHS2a3a2bXW4bXi6cITE
336
- eYXDfoXGe4fFgYnGgovHhY3IhpDKiZLKiZHLiJHLipTLjZbMjpXNjJfNj5nO
337
- kZnPkJrPkpvPk5vQkp3QlJ7RlJ7RlqDSl6HTmKLTmaLUmaPUm6TUm6bVnafW
338
- nv//////////////////////////////////////////////////////////
339
- ////////////////////////////////////////////////////////////
340
- ////////////////////////////////////////////////////////////
341
- /////////////////////////////////////////////////yH+FUNyZWF0
342
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHboB/goOEhYaH
343
- iIYYFol/IR4bFyclEIcjKjU/REE+OR8MhSIxRj1CPzw6NhoIhShFQzcmEg8d
344
- GQeFIDA7NhQRggoGhhxAPiS+jRc8OZWNfxU4NA7NfxMzMgvTDy8ut80NLSwE
345
- 0wkrKQLTBQMBAI2BADs=
346
- EOS
347
-
348
- DEBUG_QUIT_GIF=<<EOS
349
- R0lGODlhEAAQAOeUAB9ZHCJhH0RQJiNmIDtZJSRpISZsIidtIidwIyN0IChz
350
- JCtzJi5xKip3Jm5XHCp5Ji55KnJaHC57Ki1+KqtIBrtCBC6DKbxCBLlEA7hF
351
- A7lFAy6FKi2JKVhxOzCJKzOHLy2PKDKMLb1NBDGNLLFRErZXGTqSNVCGOjeV
352
- MmZ/Rj6XODicMzieMzqdMzihMzmhNDmkNDmlNDqmNDqnNT6lODqoNT+lOchk
353
- JTuqNj6pODyrNt1kATysNj2sODytN1SeTVOfS2CaRj2vN1yfQj2xOMtrLXiM
354
- X1SiTD6xOOFoA2KcR12gRD6yOF2hQWOdRz6zOF2jQkusRWeeTVemTj+3OkC3
355
- OmqhTVmpUs1zOGKkV6KGSlmqUWqiTlatUKOHSm2jUW+haO52AHOia+p5BXCq
356
- TeZ3IXOoV/h2AGitYnKsTl+3T3OtT/93Ee9/GHayYHuyWP9/GH60XIKvbIC1
357
- XoSwcIK1d+uKQP+GIYS5YoyzeYy0eH7Ccoy8bZG+d/+STZa/g47DhZm+hpW/
358
- jpm+iZjBhZ3AlPSdY/WocqXMjarIl6nLmqjOkKzPlK3Unva1jfe1jbjXpMLj
359
- r/7Nrv7WuP//////////////////////////////////////////////////
360
- ////////////////////////////////////////////////////////////
361
- ////////////////////////////////////////////////////////////
362
- ////////////////////////////////////////////////////////////
363
- ////////////////////////////////////////////////////////////
364
- ////////////////////////////////////////////////////////////
365
- ////////////////////////////////////////////////////////////
366
- /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
367
- CgD/ACwAAAAAEAAQAAAI0wD/CRz4j8pAHgQTCmQiRKAMhf+qDPSBI8WJFh0U
368
- PiHyr0eNGC/2RDICUUeOKI0gAQKhJtEIDxuQDJRhYxGeN25UcMgiQCDFGTS6
369
- IJqzBsoWRYIsEBgIwwULRnHSNJlCyEygCQ8IrrjCh8yQI3++OBnUQAECAwJR
370
- oOmzBIgeLkq8lKiQgaCJEHV+5LESRAuWR5MOFSH4IUEhOVLokHDkh80dOxgI
371
- SoAARgyDCpIoaW5zIeGCAwUGUDAE50yYJBog/gsQ4UaZMTtEqBYIwIGGC6n/
372
- BQQAOw==
373
- EOS
374
-
375
- DEBUG_RESUME_GIF=<<EOS
376
- R0lGODlhEAAQAMZ7ABdEFBdFFRhFFRhGFhpJFxxLGSNWHyVYISleJCxiJy1j
377
- KC9nKjBoKjptNjZyMDN2LjR3LjV4Lzd7MTx5Nj16Njp+NDuANUCFOUt9R0t+
378
- SEGGOkOGO0OKPE+CS0SLPUyOQ0mRQVOLTlaKUlSOTU2WRVqOVFCaSFKZSVGc
379
- SVObSlKdSliZUVmaU1SfS1mdUFWgTFudVV2cVWKYXVueU1eiTlejTl6fV1ik
380
- T1mlUGecY1+nVWCmWFypU12pUl2qVF+rVGCtVWeoX2KvVmmqY2OwV2qtYWSy
381
- WGutY2W0WWa1Wm6vZXOxbHe0cXuzc3u4c4G2eYO2fIS3fYS8e4u+hYfEgIrG
382
- g4vHhI3Iho/Jh5HJiZDKiZLKjpLLi5TLjJTLkJXMjpbMkZbNjpnLkZfMkpjM
383
- k5nOlJnPkJvOlp3PmJ7PmKPPmqLSnKfToKbUoKjUoq/Xp7HaqrTcrbrfs7zg
384
- tL7htr7ht7/it8DiuMDjucHjucLjuv///////////////////yH+FUNyZWF0
385
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHp4B/goOEhYaG
386
- NIeKKCSDSY9GQj9/PjgvO05BHIJJenl3dWJKRVlmX1xYNhV/SHh2dHNyai5h
387
- XVpXVSwRf0RAPTpSb3ExSzMaMCsQhidNcE8bgg4PhyYfbG4UggwJgzw3LSlH
388
- MVBtUSELJSIGgjWnWFZMI2tpaGdkYB0Ffyq3VVRDE2RMKTPGy5YMA/6QAOHh
389
- ggUJfxQgONAgBwYBigoRCJCxEICOHQMBADs=
390
- EOS
391
-
392
- DEBUG_STEP_INTO_GIF=<<EOS
393
- R0lGODlhEAAQALP/AP///8DAwP8AAMzMzMwAAJmZmWZmmWYAAAAAZgAAAAAA
394
- AAAAAAAAAAAAAAAAAAAAACH5BAEAAAEALAAAAAAQABAAQARMMEhCwrgYF8nt
395
- AWAIIJwgDUJYrGMnGaJISmYAq+xME0L/9Skd5xZruY7IQ4UY09UGRZCOsEGl
396
- mkfUB+uC4grGDnMFFr4Q6HQayQ5EAAA7fL6JAAA7
397
- EOS
398
-
399
- DEBUG_STEP_NEXT_GIF=<<EOS
400
- R0lGODlhEAAQAPMAAP///wDMAACZAABmAAD/AIAAAP8AAAAAAAAAAAAAAAAA
401
- AAAAAAAAAAAAAAAAAAAAACH5BAEAAAAALAAAAAAQABAAAAQxEMgZxJg4A1K1
402
- 54KngWJGltNZBmxloe2LAtU1S/atT4VR3D+D4TATComong+JOjg9EQA7
403
- EOS
404
-
405
- DEBUG_STEP_OUT_GIF=<<EOS
406
- R0lGODlhEAAQALP/AP///8DAwP8AAMzMzJmZmWZmmQAA/wAAzAAAZgAAAAAA
407
- AAAAAAAAAAAAAAAAAAAAACH5BAEAAAEALAAAAAAQABAAQARMMMh5Tig4j8lN
408
- GEBIEBsneaBITok3FWFcmh6szl0QC/xseASLLUHEmY7IQ+ISCxlPzOYz4Gk6
409
- kVUrzlXTSlo/SxQwKHOWL4QaYUa6IwA7nEkPRAAAOwA7
410
- EOS
411
-
412
- DEBUGLAST_GIF=<<EOS
413
- R0lGODlhEAAQANUAAEN1h0pteFl+iktvekp3gkVpcl6Qm7jFyGyWnVmMlB5O
414
- U1CDhjx5ehlEQzt3c0+Ad153bF51aoGSip3GsZzHr5fFq6DJsV5yZXyQg5Wm
415
- m2+BdZqpn56ooZWcl3iOfWZ3aaCooZPBmLu/u7e7t8XHxZrDmMPHwpe/f3ur
416
- T6PEf7TSidPltef00NXmtfjtsfjlmfj16PDVgfjdifDdqf7676iFLfjOYvjV
417
- evjVgbGBHI6Ni7F7F6JtEptnEqp0F////yH5BAEAAD8ALAAAAAAQABAAAAZy
418
- wJ9wSCwSR0KS0dgRapZDTOSRKAygREPKUiHoPCajBBSYsFYlAfbXoLROKgdE
419
- BP0AQioU4sdZbn4HCgwLNUI5OVAXPzk0iDkwiEUZhjAuhzAzkUY5Mi6enjE7
420
- UD43L6YvNz5YPKw4NjxrQj02PbGytUJBADs=
421
- EOS
422
-
423
- DOCUMENT_OPEN_GIF=<<EOS
424
- R0lGODlhEAAPALMAAMDAwAAAhP///wAAAAAAAAAAAAAAAAAAAAAAAAAAAP//
425
- QICAAAAAAAAAAAAAAAAAACH5BAEAAAAALAAAAAAQAA8AQARFEMg5l10UBMHv
426
- UoonBWRwgeA1ciwXZNkXyla4dmluay37wsAgxUMDWGI0HYbXqaFUvMHg46wt
427
- N9KsiILNDgS/TGlMykQAADs=
428
- EOS
429
-
430
- DOCUMENT_GIF=<<EOS
431
- R0lGODlhEAAQAPcAAAAAAAAA/8bGxv//////////////////////////////
432
- ////////////////////////////////////////////////////////////
433
- ////////////////////////////////////////////////////////////
434
- ////////////////////////////////////////////////////////////
435
- ////////////////////////////////////////////////////////////
436
- ////////////////////////////////////////////////////////////
437
- ////////////////////////////////////////////////////////////
438
- ////////////////////////////////////////////////////////////
439
- ////////////////////////////////////////////////////////////
440
- ////////////////////////////////////////////////////////////
441
- ////////////////////////////////////////////////////////////
442
- ////////////////////////////////////////////////////////////
443
- ////////////////////////////////////////////////////////////
444
- ////////////////////////////////////////////////////////////
445
- ////////////////////////////////////////////////////////////
446
- ////////////////////////////////////////////////////////////
447
- ////////////////////////////////////////////////////////////
448
- /////////////////////yH5BAEAAAMALAAAAAAQABAAQAhUAAcIHEiw4EAA
449
- BBIqXAiAIMKFCQFIbHgQIkSKAh9exFjRokKOGj2CnEiSpMOSEw0OCPlRAMeV
450
- CQPIDMDQYcyZMiPaJIAzJwGQN3Hq7Ojxp8qjAwMCADs=
451
- EOS
452
-
453
- EXIT_GIF=<<EOS
454
- R0lGODlhFAAUAOelAOlIDulLE+ldLPGUcvaxmPjKuvvk3OA5BOA6BeE+COhD
455
- CuBCDeFDD+BHFeBIGOBLHOZSI+hWJOZYLOhcLehjN+6HZfCNa++Pb/GScfjH
456
- tvvj2/vo4vzv69cyAcAsAr4rAsEuBb4vB8AyCsEzC8A1DcI4Er03E8A8GN9M
457
- H+RQJN9PJN5OJeJOJtxRKdxQKuRVLNtRLOdmQOZpRuyDZO6Pcu2XgPW/sPbI
458
- u/vm4LYmALUmANQ4EbUwD95BGbg2Frk5F7s5GLo5GdlEH7g5Grc5Gr8/Hd9I
459
- Irw+HthHI79BIb5CI71CI+JSLNpNKuFQLOFRLNxRLdtRLuJUMOFTMNtSL+JU
460
- MdxTMNpRL+JYNeNZN+NaOONbOuNcOuNdO+RfP+NgP+RiQeRhQuRjQ+VkROVk
461
- ReVlRuVnSOVoSOZqSeZpSuVoS+VpS+ZsTuZtTuZsT+ZtUOdvU+dwVOdzVuh1
462
- Wuh2W+h4Xeh5Xul8Yuh9Y+l+ZeqBZ+mDauuGbOqFa+mDa+qFbOuIb+uJcOyK
463
- cuyNduyOd+yQeeyReu2Ufu6Yg+6die+gjO6ei++hjdyWhdGQf/Cold6bivGp
464
- l/Col/GunfKyovK1pvS9sPS/svXGu/zt6eRlSOVnTOZsUOZsUeqGcO2TgO2V
465
- gfS9sfXCt/3x7/zw7v//////////////////////////////////////////
466
- ////////////////////////////////////////////////////////////
467
- ////////////////////////////////////////////////////////////
468
- ////////////////////////////////////////////////////////////
469
- ////////////////////////////////////////////////////////////
470
- ////////////////////////////////////////////////////////////
471
- /////////////////////yH5BAEAAP8ALAIAAgAQABAAAAjwAP8JHEiwoMBL
472
- g/TQieMmzZoyX7pUQQJJIKFJigoF2pPnTh05bMBQCSKwD6MagCSREpgpERgu
473
- Vo4ItGMoUKV/oTz5sfTv0RQqSwTC+RPp36dNYbZI4fNPEBQlAt3gGSWqkyaB
474
- TFjYwOEiiUAyc/6B4mRG4IsUM/6tKCLQCxyxb84IlAChwj8UJwRiUcMBUxs0
475
- AidEyKDBQQmBT8Is+odIRgwKAgb8s8BghEAjWsRQ+neDxoUC/wgASABCYA8n
476
- WcYc2iDQAIYACg58ELhDSJMrUWC0UPGgwQIEHXQIbMTDxxAiQH6YICEihIcc
477
- jgxKJxgQADs=
478
- EOS
479
-
480
- GARROW_GIF=<<EOS
481
- R0lGODlhFAAUAKU3AA+MDwCZABmRGRecFwKrAiOVIxCjECGZIQeuBxqlGhSr
482
- FBmvGQHBASKrIiGyISmvKQDMACq3KjC2MCm+KQrVCiPGIza5NifEJxDUEB/M
483
- HxnSGTq+OgzfDEK9Qh7ZHhLjEhjhGEvASyLgIk/BTyzbLFHEUSHmIUfMR0HR
484
- QSnlKVrFWl/HX2bMZnLOcnrQeoTUhIzXjJPZk6PVo6Heoabmprfmt9jx2P//
485
- /////////////////////////////////yH5BAEUAD8ALAAAAAAUABQAAAas
486
- wJ9wSCwaj8ikEmlrtValaCnU2UgaMqNrFns5WayVatSRCIytGMzVYtVu06qk
487
- YGS92CzVbW+R+OlFJV9QIXs3EYiARCVgeWQbhhMRB0YdK4aYkZRFHSWZmRUJ
488
- RhYhUiUnKIYeHgpGER0hsSEnhiYgGAhGDhYdvR2GKSYfFLlFCxEWG8o0NyQi
489
- IBwQBEYGDQ/XiBcZGhgUDAFGMgAAAuUDAwYGCAQBWUvv8PHvQQA7
490
- EOS
491
-
492
- LEFT_SIDE_GIF=<<EOS
493
- R0lGODlhEAAQAMZmACBeHSVlIixtJzp+NDx/N1FxqVFyqVNzqVNzqlR1q1R1
494
- rFZ2rVd5rlh6sFh7sVp8slSVTVx+tFx+tV6Bt1+DuV6XWWCFumGFu12aV1Wh
495
- TWOHvVugU2SIvl+hWGaLwGWhX2iOw2OkW16pVGSmXV6rVG+QwWySyGKsWGap
496
- X2unZGyUyW6Uym+Wy2+XzHCZznKaz3qaxHOc0XOd0nWe1HG0aXag1XS2a3G5
497
- aXmi2Hmj2XW7bHqk2nqk23qm3Hun3H68doWs3YPGe42x3Y7Ih6S8o5K56JLL
498
- i6a/pZbMjqzEqqvFqa7JrLXPsrXSsrnWtbzauL/quuTr4+Ts5OXs5ebu5efv
499
- 59f80tj90+nx6Ony6Orz6dr/1dv/1dv/1tz/193/2N7/2d//2vr7+vr8+vv8
500
- +/v9+///////////////////////////////////////////////////////
501
- /////////////////////////////////////////////////yH+FUNyZWF0
502
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsoB/goOEhYJC
503
- Pj07ODUzMi8uLCowgkBFl5iZmCV/Pp6foKAefzxbXF1eYCRPWmVmr2Yafzmm
504
- V0FgGU5ZrrAWfzhbWzciUCFNWGSwZhN/NVs6Nj8bKUxVY8oSfzMnNEhGQx9L
505
- VMmwD38xWygjHQMYSlLkrw1/LcEQBGECSVNiygp/K4JZqRAmwJEo8cwg+GMi
506
- WJcvYQAQQajMwJ8CIDxwuEAhwgMHDBYkOFCggKGTKFMOCgQAOw==
507
- EOS
508
-
509
- LOGO_RUBY_CENTRATO_GIF=<<EOS
510
- R0lGODlhSwF3AOf/AHMAAXgBAHAFCH4AAoMCAHoGCIkAAowAAHUMDY4DAJQA
511
- AX4LBIYHCYcJAn8MDZkCAIkLAJ8AAJEIAaEAAqMAAJsFAJIKCZsGB6QCBIwN
512
- DasAAJQMAKUEAK0AApsHDpQNA6YGAKUFDZ4MAbYAALAEALgAAIEYF7cAB7oA
513
- AbYAD7oACagLAJcSDZ8PCrEGDMIAAKgMCLIIBMIABbMJAKgMD6AREsYCALsF
514
- E70IA84AAMYDCM4AA74JALUPB5waEa0UC74MDaIYGtMFANwAA6caF98CALcU
515
- Eq8YFNMHEckMFLgWGsMUB8sQDbEbHKEkJKshGsQWEakiIOALEb0cFsYaCsUZ
516
- GdgSDJgtK84XELciGr8gH481NNAaGawqKsgeItMdE7IrJtwaGLspJLYsKJ03
517
- NtYhFdIjIt4fIbkwMMAtLdAmKNgkHpw9PbU0Nbk3MNwoJ8sxLbY8Mr82OppI
518
- RtwrLsM5Nt4uNr0+O709QdszKdozLrhCQs46NtQ4Odg6L9c6Nd44OMVER9I/
519
- QM1EP8NKQtw/Oc5ERcJKR7dOULpQTMBNTdpFOcxKRuBDQ6xbWdxIQbpZWNlN
520
- R9NQUdlOTuBMSsVaWtBXUtdUT8lcUd9TTLZkYq1qa8xfVNNcW9hcWOBbVclk
521
- ZM9mXt5gVupbW9hkZ91kY+FkX+ZiYORoaMB4d9tta8h1dOFraNVxbLmAf+Ny
522
- bNl4ce9vbvlrbOR1dN94dP9radx9fOR9ftuCfdeEg9GHhO59fOmAfM+NjOOH
523
- fcmRkv57eemIheWLhuaNjtiUkeSRj/2Hh+GVkeuWld+cmtqmpeqfn+aioOKm
524
- qP6bmOqmnuWopNiuruynpuKtrOStp/Crqv+mpe+wrOm0tOy1r++ztee5tty+
525
- vfC6ud/IxfPCuf+8u/bAv+vFxvy+vvLDwNDSz/LFyPHJxOvMy/LMzf/Nztze
526
- 2/TV1PPc2fva2e3g4PPe4efp5vri3/fj5fXo6P7p6/rt7fTw7v7x8fX38/r1
527
- 8/349vr8+f/7+v7//MMtLSH5BAEUAP8ALAAAAAA6AXcAAAj+AP0JHEiwoMGD
528
- CBMqXMiwocOHECNKnEixosWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuX
529
- MGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGjSJMqXcq0qdOnUKNKnUq1qtWr
530
- WLNq3cq1q9evYMOKHUu2rNmzLvsJ3Ie2rdZ9bO3Ns9cuHbtz5sxhM5dOHDt5
531
- 8vzpY+u2cFK2885hu5arFShFd+S0eUJjzJMjYMagcZMmVKth0LAB9qfWZ5rT
532
- qFOrXm0Yqzx0zFYhelKjxYUIFSZUEDEBQ24OGCZ0cKEBAwcSLn6k6dNp2LXR
533
- pW+unk4ddeuoiLERQ9SlRgQRvDH+gOAwgQOIFRxWdDBPosMK9Ro0dJDfgcSJ
534
- F3D+3JI2F2f1/6xdx5Q+9oiTCyRBSKDAgg+IcN54EIIAggbprTdDfSuQoEF7
535
- F84wQwkloLBDDnpMggw5pNEE4IqpCXhYO9toQoYFBBiQwIITPHCBeRCa514H
536
- QGYIgoYkzLAhCSMUWQIPL9jwQg477MAFJcO4g49MLGaZhotHwYNNJVdkQEAC
537
- DyjwQI4RPICBgytISAIHQZJAJJJyXjhCfUh+yIMMTdogRA5CrHHKN/bApKWW
538
- XA51Tzq2iNGCAWMecOMDlFLq4JDvAUlChpom6eEIMxQ5wwigfrhkk0LYAKgQ
539
- QwByTT3+/uCjD0uHZploUPNgI0kWLkwgwY1kmklpBBykl2mmF2Z4Z50djCqq
540
- hzyMwEMJL7zAQw6rClFEEW+EY49d9KhUK6K39iRPM5N4cQMNHyRgwAHwLhjB
541
- BOWZx8EMx8l5JKildpAkkiOACOq0L8hwraqrbivFKO2ccww4gZ007qHl7nTP
542
- M578oYYWSmDwALwHKBCByLlROF6bRG6ocpFFJlnfhwHPQLANPCA8orbbnhEO
543
- OsXMsgw7hJE0MbkV36TPNrZcMggcWhxxQQIhLyjyA8VysOF7bxJZH6l3gurv
544
- qCWMCrYNS9pgNrYJFyHFLe4Uc4spwZhz5UhDtyhQdUXTNFj+P+gcE4okhsDh
545
- hdMSvGsAgw/M+6Z6QmqoXn3Nhqpk2CVIy8OH1tZMBdppFyELPMXQYkomr3QT
546
- cUgs3j1dQdTlHdM+7nRDDj3dNFaKIRsf0YICBEgdQZocEGss1key7OHLdYZd
547
- 6sA81JwDwp2/wg4ys5QSSSGUVHP6RysSFKD3rbveEj3bBPOKNO0sY0srpATu
548
- hRIhfBy1sFVfTbyGzn5qPMxLBtx886pyHraGoDBf2IMZqjDFJQqxBj0ggx2o
549
- +49BVHOQ8IlPJfK4Bioi8QlotCMZxbAFKS7BNN0pIF6/S2F8KCSnrA0JSP0C
550
- 1bOWpycAXut52CoCAd8AjntIgxX+o2sEHdbABV+cg3sSnKDdlEjBC6aEHtWI
551
- xCPo0Ah2wCMZw2hFJyaxMfiV6XDC+h153lQsrL0MSMfLX7QuJ60l1ex/nAPU
552
- tozhDn9oA4iZKMQZ1rAGKMyCHLPiCIAQskQmFtKJI7FHNRpxhjMUYRbw+GAI
553
- VSEJPgwuBBEAY5oSV7UyYm1TaPSQ5C40w+U1r0kCzMEQCDiKcPDDH9vwxCUy
554
- AYghfoEKOrBEMwqlke5V8JADWR0iSWIPaUSCClDYQR6SsY/0DYMWoKikGo5A
555
- gwkY4HCJU2GxNtUm+0nOX0ki1QwFVkMA4jAHRcheisTRBCI8QQtaqAIXqIAF
556
- KgwiGdv+s8ggCQnMYH5vmB/pxzIMgUwq2LMd/KDHNHAhwk4IAp40WFDIyiQy
557
- YsUnX+hpYXxkyLJniXJgIAIg9IaQiWq88pXo6IIPWrBSIrTgCDA4wQzScIx2
558
- ZCSJ/LROQv4JUI7wgxmMwAEVmLCEKQzDHmrpGyxI4dASXuAAh4tamurlyQyl
559
- bEP6q5Mo0+jG5qFtB6foRj/4sQ+1yAMSHgiBbWzDAhZ44AMhIIIu4HGRfeZU
560
- p3c9TU89Qo2g4uAEP3BBE6hBGPLZohOA2xgNQgCvw/2uUlQzGXrc87g7zUdy
561
- +/NoV7G1iFm0gx7pQMc9+NEPfazCB4sVwQUu4IELfMADCrj+gA+SMTeK4DSv
562
- W9ppE/eKkX7YoxmE6EEPfkADGngAEdwgDWnJpwpG8CF3IYCajQyQOErVS0IZ
563
- 1VfxNMC1UHVIq9CiGRRIgY19SMNtyAgHPe6hj15EYbGtncAFbOMB2IpgD+jQ
564
- J94UwlPW7Za3FrlHM9zggg4sVgksPUQ75EEPfYyVHuaghSC4UIUftABqCsBm
565
- NqlmnvcUSwNDWuFx4kMkq7ZQVCUAgiqkQY9soCITjzAFLYpxjXSQrwstKG4L
566
- bLNa1rY2CKuYR0Vu+8t++tPIAH4IPraBBhiEYLEwWGwGVKGNbpyjHfbQBz/s
567
- AQ+MVcEIHMhwvIRVXRF0eDwUumj+ezYEJwytID7rccEU4GCLa7CjHaoAhBDO
568
- UIhMiOIVwliGOLjhhBYEdsc9nm9rL9AFcQzZgrpFsj/6m2SIwKMSNIABcYs7
569
- AQtEQRXFOIY0sHEOdjSYtOlIRifQ4AECNNZ3nDwzGa2GnuNgFE5pVkIdOiEN
570
- c7wDHbboAxJ2UIYGNiITnmAFLURNCB0XNwSJXu0DnPCLQEpkv5GWNKUr3ZB9
571
- rCIKIQiBpqMcAg+g4RW7uIUwkCENbfjl1PmAkS4Q5IRrHqB3k6pAsczcSQrp
572
- m1jzosERsmAJWzTjs/qAhzLucIMS6CAJXODCF9bgh0dk4hSvQMYhalDc4sJg
573
- Tav1gAX+LHAAR9zj0ds+srb/y22H8KMZbXBBjp+9Yx+k4RSmYMUsbDGMYyxD
574
- G+ZwB1L3kQ9+wAMd0eiFbPYQhCDU4AEh4MADbKOAEGBA3DBoQheOcIdOgBob
575
- 6UDoWOdhDkykYQQ9EKpBkTDxN+ThEY/4xC4GgVritkCt4f6VBRpAADawIzoR
576
- wbYhV47XljukH+KoBAeeTFwns5YFfPjEJUSR81nwQhjFkEY2yIFwf5DV8/aw
577
- BzvQIY5mJKMXuiAGLnKhi9Q3AxrUIEc60gGPdwxmH6Ttxz7QkYsx/OA4H8LB
578
- EgqKBS6EIQ9/EIQpGMFxDMDgpTsOgQUUkAEGGMAE0dCvMAf+b+SUG/4g+kgG
579
- vTKd6dVSvwl+KMQjKPEJyrPiFbzABTKYoQ1y1N7B/rCHPPiBj3m8gx7w4A5H
580
- 1w7ocA70QA7dkBfkYA6lJoDrhXv88A6QQAQacAL+0iwgggNAYFDFxwVr8AaN
581
- 8AhE8GyLtVjQZgAeUH0OoAzat30qt20s930LcQ+J0AJOtlgXYAGw5QFaoAd6
582
- UAiLQAmZ8AmeUAqqMAu3MAzFsAzVYA7tMA/boAuVgFiSEAl/EAmNoAZwpwd5
583
- AAjqJwiTwArvJwzH0IQItw/T0AblMQIaEDYn0ANLAgQbSAUQxwVnYAd8QBkw
584
- sIct8AE+UF8WYAAO4ADYFzQTUTf+/+R9MmgQaYgBNLBjCuABEmABGcACUHAG
585
- b/AGdOAHi7B+F9cJr8AKthBo0LAMq9AELNABOoADPBAlNkBsVhCLYUBxcNd+
586
- lRcMS6gNBvgOoBBZWFUqpqKBajdPx2cEOeZ4ImcBDDByDFAAJsANr4RyiGhX
587
- i7gQukcMNYABLQCI0+cBDEAEHuiBZkAHevAHcJcJmWAKpnCEt0AKdfADOcIB
588
- OkAFQAAFTEBPEcdHH/gGXmhxn2AKRkgL69ZrydAFxaJCSFICchI2OKCBSwAF
589
- UPAFZjAFNFAbfThyDcAAGukABbAF3hCN0mgr1kGN1agQW7YK5cYCElA4IpcB
590
- GUADa4D+BRNXBmGAieWofuxnCpIwCCvgAsBBLx2AA6tITwZ1S8XGR2/wg+dI
591
- ea9AC7aADMiACDuiARNgJhFAHwAzAydwAg1ZUGtwAzkGiC7JAIOIAA6AAFvw
592
- DjdFLjpFZCWJEPzAD5CgACNnATloAJRoAT0ABfj4BR54BmHAj38QgnAwBR83
593
- HxRyJjNABQ05j8gUkRP3BY30Bn5gjkL4j6xwC6xABPWiASNzJvSBJ2EzAyrg
594
- kFgABKvVVtXHAAtgAgWAAK/pCuGylqkzaXplm5D2lgjRD/fgCAngkiNnAKv5
595
- AXw5T1QQcRK3BnukB1UwBStgHBNCIboxAStQAkmgA0vAA8n+NAXGCQUR9wVJ
596
- aY6PcAn/GAtjMAEXFTxSx0nghGIZiANQgAMKIAEs4JIZMIgAgAAIIABbEA0g
597
- SZtJ1JaCh5u6ORC+BQnXNAALoJEDwAAmgAFJgANJYFAU+gUT10c98Gb1Q5Uc
598
- oG9ryAMNCQQ28JARRwV++QU1uQaAUHHsJwhHgJ6eGTyblCbzEk4xMDkzsIrW
599
- RImDaAL6uZ8IsAn/2Uv7JKCQFoPVyA+pQACruQANWgAGAAMNKZQU6p0GhQMw
600
- 8JxWQyHkYTX0QjXBF6LCN3zI9J1YMJlAWAhaEGvxUR6UMjWgGTklEEon8AAD
601
- ACkZ+aP6uQXoAHgbUaS3eVsuuIj+Y/ULg+gArLkAZ5kBU7oEOvCofAkFQBAD
602
- IIAB0rlCs0YvulGd0JJ2wnePBhWR+QiePhiPnome6PlYkPUAG9IDHgIiIBIB
603
- BDAAtGoAsKmfJuAKagkSARqogpebMsg3bPCkBfCaAuABQIADJXACJeCQKnAC
604
- wGE1W8qlXPphlAInM4ADMjOlOACqRXmcNUkHNxA8GpBNMkqVKbRhHEAqMxAD
605
- ojQBAzCrARAAA/CaQcoNfqpf/iVMRuqCA2p4cdkPmwAAxVqssCkCOACHHtKQ
606
- M6ChaVYeV5lmF1UvuCGnJeCpcggEoCqqEwcFHXAmvzMBKVQeniky8nJCrJpG
607
- bDiv9Er+q/U6B/5JpIU3jYWHm4oIYPowDVuQn8UqAAzQAaMJIj3QAeh5kFwa
608
- sRBbrV9KKc3SAXAIq1OKnRX6BT0wNao6MlcpsjQ6MgtyI9zFNRrgsgMQAAAA
609
- AGTwC3GJEf5Ks7n1gpIGYHHJDptgAgLwoyxQOTPgquQBAvSinlvapZgqYp5p
610
- Xf7SHpTTkM0qfBAJkRhwAWVSXXA6L78jL2kiUQpgNaOiAQQwr2MbAFewCmmr
611
- tonItvt6s7zVD+3wC1uwn3U7AWGjIdLKpalarVf5OyQ2seRxrV8TA1Aboslq
612
- UERbXVj7mcNSlZNrJmI2JgqwIS9wAAGwuQVABrpwD/lqW6P+S7PgA6wtF5f0
613
- oAyJ4KM+C7Tz0aVGazW2qwEUMK0rxGbruUnr2gHuKqfT0pg9EDwmS1EpNKMn
614
- KzVQBS+9EwEjoAACAAAMQAbJIGQyG4NGqjq+xMCDCrD8oA/okApX8JoNMKcy
615
- ymHCY7v5m0JbqiEXpaoH8ACRg4GumoEyAATlmjhWebyIIzUnlGHvAjLXZAAj
616
- EwAMEAfYQA/Va72DusAEGsQzW0htS6gByw0UXAAXEB80SiFiRCypGgEgfFGe
617
- ScVL+0Xr6jUkELTN2gEKcCMwHMMnFDKGYzggA1WQQgBqbDhtMA30MKQtyFNA
618
- PMe3abNFXKACoRYSnAtOYK5tWsX+Imu06Zq/VYyuVgs1CcBdW2xZF8K7VWm5
619
- CzLDMzzGNHxNNXJvalwjCYABeDAN1CtIguqruUXHo/y2JflK90AOsZAGt2Em
620
- 5MrBWksBEUuVWuvBlcu1UEUAVylK7unFM/xqlNy/jZXGNZLJNTzCE6AI09DD
621
- cbx9pMzA0IykeKxc/UB2txAITYBJNFoeIuuZVynLALdJlZK//AtVAwDAeHIh
622
- zfIAUHPG7vzLmFzMmVzMhxMfhzANcEyk2fu2QPyC0wyXr+Rb6TAMliAGIWC1
623
- 8yKjMrq1WFspvjMyNDwAB/C1/BIqGRbMNNy/8gwpHJ3JExU8igAN4iLNDkHS
624
- /zwQZGX+D8vQCYbQBC2cv7LszTAMRmRWzvcGKQ9gWS30AGU8zJY8z2sM1O9y
625
- vhywB8pAWiNtynl10gyhFv3QD9hACpKABhagIB3MtZskUdcUyWTcWPMMAOsq
626
- J2xYwzXy02lM1kANL/lLrncwDVpGK6brtkzdEAHbD+RQCpbgBh7QzvICuSMD
627
- z/YmzD49thNNKuUK1Iid2K4mMoDMpW38xi6hvdw3103tecrlDrPQCYdABB/g
628
- au9yQhB9xhwNKf1LzGpMqwEQAf7CATet2PNsxhFAASRAARRAxSEQB9HAwzHx
629
- wKVbx5RN1089D7xgCpYABh8AARDgao01zEFNz4gNKS7LXbz+87xADQBl/csb
630
- MMK0LcvgDBxtgA31wMxJjWRxXY1PzRBx+Ur6MAylcApg4AMHAAEJAAH+S98Q
631
- /S7yvLkAsN8sO7YAYMNpHK83DTIbUOBjvAGMjb60fZUh0AW5LR39Vd5JitSH
632
- 5w/LYIRx4AMbgNzyHS/ZpAFmEi+5LNSkLT/zsyAFnuIJkOJmMgHcvUIY0Na8
633
- 5B/8qtR4nHt0LRD8oA230AqE8ATtwuH3dgAWNa21jb6xjeRWQwEiO8IFfgAG
634
- juBRM8Lwcia0jeTzsgfL3BMN/NsFEbo5zg/p8Ay4gAlu0C7zTd/0zdhQ/Du1
635
- XdtJruCeyeIKkN12nuJPvgHf7Jn+tc0Bd9AM+UzjvO3lEkHhvkUOx8AJcZAg
636
- ELDh9B0yV6m+2+3NTPw72Q3lUJ7iD6DiG0AmG0LbILwHySDeEC7hhJ4QSB2X
637
- zQQNnHAIaCABjR7fyG27C/3mK3SVsLviLF7gCYDIur4B6Dvb3KUBeADoQyHZ
638
- p/4Q0ThWZDUP2dAKmBAHx43IVY4ktyvbJDatJLDpCJ7dN1Lgm/4A8n2VQLJC
639
- Mk4U/5rsExHQ/vAO2wALmEAILdDoHK7nKnO73IWYGvKxUIPn4A4B7OzpF5gC
640
- LnAHuU3hQeGW6j4R5y0P4oALoVDcx+2/RA4kFtimLuACJ+ACKTAcm04m7Nzp
641
- AL/iZIL+ASlw8h2gBHLQDfYA5kDRRKa+8F/ueRH8DsQQCqEg7RwOASJD8Ci/
642
- lRtv8eMqKb+yAZXy6yKwlSlwAkrAB9sA2UJxvTUr8xBRGmPlD9DgGXHQArCu
643
- xpucAkbgAj1A8B1wAypgBD1wAyDQ6Ea/4hLA6xBwAS5g9ilQBYbQDPhA6oI+
644
- 9TFP9QUxVvnw7LBwCECeAGpsATFl9kCgAkDQA0YABDfQAy7Q6ZSP542+Aj0A
645
- +TdgCdig93vv2/sM+vvq9weRtqTlMMKACWAw7wnQABKwAnK4lzeg+VWw+BKQ
646
- 6b/C7RCAATcAkTfQCVuO7oTXfVNP+gTBD/LQDYl+B2CQ3A3+8AFGMAVJ0ANV
647
- AAVTkPY3MAUpLgEPsJK9/gA/AAVVUAWWIA2eX+rF39s1a9Kkr+rt8AyhcAhx
648
- IAF8lwA/MP7VX/3SnwQiQCZFv+EAIcFFFYKdoPlDmFDhQoYNHT6EGFFhGooU
649
- JSKsmFGjxosdPX4EGVLkSJIk+9W7ZurSHQ8NIChQAuWGGYJJoFSBkUCChA0S
650
- dLaAQlPSuH0ljXa02JCjx41Nkx6FGlXqVKoS+fW7io+cLFGEiFiAIOEGlyRc
651
- zJgxaySBzg09LxiBAkgSNntVq2acuPSj0412/f4FHNhhv4T9+rkDdkoUGBYN
652
- Phg5C+UmFyMbIGx40BODGT2Xwtn+IyzYKF+8I0k/FZ1a9eqRhPnhc2bs1Bgi
653
- BFoYeZPbzJoqG3SubVFFzSRz9vixNn16NF/kzZ0/V3jcMD911mrxedKgBZCz
654
- b85eaOD7gxJAk87dCw2dKfOj7NW/hy+YH79544xNGuMjhHA7dNS0aEAnGOw4
655
- pZvj4luvKaicQrBBB6Uy7CR1jBmljyBC8MIMO+yggYANJuACFWyuevAi95JT
656
- sEQVVwSpH3zUcUaWSMagoQo66PgBAgu0QGUcFk1MkSQGfySyyMHcsQaYTwZ5
657
- wo9G0jDgCFUMNDKivpYrrUoti5yvnnGAkUUSMfoQwwdQyElvS4aubA81Nd9c
658
- kTpjdhnO5ZE+LDkHn+bSnCpLOP8EtKP55KlGmEsueaYu1rDiM1BHH13tqn3E
659
- OSYYeA6MdD5IN+VUtPn6mcedRkXDqlNTT7WLxObyiWdUVF+FlUisMI21VltV
660
- 5OceVW/ltVfo+llHV1+HJXa1dcqhtVhltyTM1efeKcfZZaeltlprr8U2W22r
661
- uuoqw+DjZ513dt22XOe61fS9+cqJJ1lz30WOUWlJjWcdd+HFV7V0f70nn3nz
662
- BRjVT+8NuOBTu4XoW4MXdtTbhz5lOGI493WIYIkBCwgAOw==
663
- EOS
664
-
665
- LOGO_RUBY_GIF=<<EOS
666
- R0lGODlhSwF3APcAAP/////39/j29f/v7vfv7u/v7//m5f7k4vfl5f/e3vff
667
- 3/Lh4f/a2PXb2N/f3/fW1v/V1PDU0/TTz/fPzv/MzO/OzfHLx/fHxv/Fw//C
668
- v+7ExO/EwMzMzPi/vfW8tPG8vO28t/+3tee9vfe1svC1tb+/v+e1tfCzr/+u
669
- q+izrO+urueurv+mpt+ureerpe6lpeilpf+ZzOaknd2lpK+vr/+Zme+dnead
670
- nOCdnOealv+Rjd+alu+VleaVlN2VlPeNi8yZmdeUk/CLi+aNjN+PjeaMh8GU
671
- lNaPjf+ChfiDg9+Kgs+NivCEhJmZmfd/etGKh+aDg/97e96Dg92Eeu99euZ8
672
- fNWBf/9zc917ePdzc+V5dtd8eo+Pj+51ctB9e+dzct91cL98fO9sbf9mZs9z
673
- bNZxceZsauhqZN1rZt9qatRtZexkZdBtYtdqan9/f8JubuhiX95kYdZkYcxm
674
- Zt9hWqpsbN5cWd5aU+VXUNRZWbxgYOBSS8lYTtRTUsBZV8dUSd1LUaVaWtxK
675
- Q85LS2ZmZtVHRN9DO71KStlCOtBAPb5ERMRCQtg7M+U0PMk9PdQ5OZVHR98x
676
- MdgxMdsvKrI6OswzM7s2MNsqKk9PT7Y1K6o3N90nHtYpIdApKbQvL9ckLN4h
677
- IcwnI7MtIt4gG+gdHdYiGbspJtMgILIpKc4gF9ccGLUkH84bG80dEL8gIMIf
678
- GD8/P8QbG4sqKqwiGcwYD4QpKcYYEKchGc4TD7MaFsUUFNcQBr0WEuANDaYd
679
- EuAKAMQQB7wREYwdGqAXEDMzM74OCbMQEM4JANYFAK0PD8YJAOAAAMQHB6QQ
680
- EKYPALsIEbwHB3oZGZsQCrwIAJoQELUICK4JCbYIALQHD5YQCcwAAJUPD44Q
681
- EKwIAKUJCcICApYOAKYHAL4AAJsICH0QEJwHALYAAIMODpMICJQIAa4AAIwJ
682
- AIwICKYAAHEODoQICIMJAJkAAHwICB8fH3MICIwAAIMAAHwAAHQAAGYAAA8P
683
- DwAAAAAAAAAAAAAAAAAAACH5BAUUADEALAAAAABLAXcAAAj/AGMIHEiwoMGD
684
- CBMqXMiwocOHECNKnEixosWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuX
685
- MGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGjSJMqXcq0qdOnUKNKnUq1qtWr
686
- WLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2LcUACBQ8mGDhwocPI07oTaHCBY4d
687
- O27MaNEggNvDVgVIkFFES5w7ghBdGlUqVStbzIABM9cuXjxUenAgQExaqQAF
688
- Fzx4uIAAwIIbUBxDZnQJVOVWl61JQzfOs2dNQAyXHg4UwQcoey6p6sW8ERUF
689
- CHJUAfNYECNQmzaVCiZt27Zx1ML3/65XL1AE4uhzCrgARRAubdqQIVtGn36k
690
- DgRyaElDZ4+gRpG84o134xRooDTjbFOPPbJokN6DMgkAAhrvwQffMfL98kt9
691
- pFBAwA1ppHEGHowYI56BKI6DDjrc2MPgeRDGqJIAG+TBjDjiXHgMhhhmuOEy
692
- ewhAAAxn9GFKNt8V6E2KBa74Djfv4AOJADJWWVIDZTCjjjniKDONMspos+OO
693
- 8iGj4TK9hAAAAC4Yw00zSy4pzZIprojOO+fIg48RVvb5kQA5uNLOltMU+s2h
694
- YVooZob02bAmADPMQk0zyTRjqTRwwunNknbiiU8tCzTFQQlNuGGqG7DAQoOf
695
- QU3AhzqDqv9zjTnXiDMNoonqaOZ8VDwKgAaUTFppMpVmmqmKd+aJDxBNwbLP
696
- s9A+6warPgmwAy/txKqOrNfUeuuXuYqJIX1m+OqaHpZaYyml7DYT3jncnEMO
697
- OfQEIpxSHJQ6T7T7TEvtTgrMAWs73WxrjqzmFCrON2CCaSGZ8nVhLgAEPCGs
698
- N8l4k6k03bFzzjnukJOPLAU81ESqKKesMsqEuFECS85C6++/OFmgSLYFF3yN
699
- rNza+g24xzgM8TJQACAkAXEtQAARt1y86ZLboHPOO+TI4w490YjwkBv8du31
700
- s/oQ4kBKJUQ7M801fbBKPASrk3O33Ha78JfgJrpjfC8AYIFeLrj/0EMOI0Ah
701
- KaUYe7MbNx5b7U7IfD7kAA2EdM1FCZRT7gYx/OrTREpmo22TCsnE003OPO88
702
- 6+nedln3hdrsYgECUFRRhRZgfIFGHEyYsYrTh79D9dXkuBPGRDTw+7JBNOjD
703
- 7+Ynde75TDJ0NrrbbtOK8Om01vozol+Kqc0nCJzQBx3k03HHHnsUAgcepqzr
704
- LjXccDNvyCHXQZHxCHHQ9fElOf88TD7oTDgG6DbTlY5WCaOVzw6FKAuhAQBo
705
- YIQgJogIRDyCEZKYRCMMEYt1hSde8nKH1eRhv4ngDyFcixYmmiez/8GECNJT
706
- RzioV7oDzmoaCvTW9hIFggeMYhSSuMQm/2oDiiKqQhWbmAQrvIGOeKCjHu9w
707
- kT3kcY976OF+0eKfQRzQNRZKy4UuOYL0skVDA5oOgdi7RqGmYasuiaMTAlDC
708
- MlRRijqWgo6pyKNlWlEKW7SDPAuyRxWriI8rmjCLCtkXIvvXQjCuJIDxGOAM
709
- c3YwM6LxkrVKWBvFUQQBPEIbpWiFKnBzGVvgwha20AUwUAmMdgzylfi4xxuw
710
- CC0tGiRmtTSJ/xx5kuhFUpJlrOEZ04jGbtVKFwoQAXxYqUpbaKYYxQAGNJlR
711
- DGq2Q4qxvAc+5HEEWj7LlgXB5Td12UhemuQE3vglAUlnRmFisnSzAgMA2mCO
712
- Z0qzGNDAJz6hMf8NaBjTVq7MpjbPgQNv7gOcBMFctEq2EMoxxAEl4EBC/OcA
713
- LmAiVYRYlTk3YoHQSXKd1GunJU/Hs4MdLBYKWIApzAHNfvbTmNlD4Ja2JA51
714
- aJOQ1NDaIXM50WjN4yCp2l9BCAEL5fGrp190gDihpblbfm2cBHmq5xpgiXo4
715
- 46PUY6cwt7ozk26raC2oJPbMQdaZetWr6qipPfDB1ls0wKAIFUjxdjmQpUJ1
716
- IJczarSQ2q8m6NVrmGCoQLigSH7NAxNjI8jlMkcItAVAD/FwhmSBOT2dcfWy
717
- 22pHJVpDhkGd1WBmnaloD4ajeOQDH6hQAFwTIk59CNYgXDihQZpwVIT/RAtz
718
- 82hCYjnghr8SA3l/bWxCkveswHpuB54px1WdMTrSve2y7SzYtlIAAARYwrOh
719
- /SxZt8tdmi7IE6PZ6V0LElumSlQhhNBrXGMgTr7uQ7gF4UBwDVI2aK1QIc6C
720
- xfMaELpyxKMc4WAuc527LZEKM2fdaEcbBACAFYB2u9ndFqwGli3fADIeiiDA
721
- amHr0/MqpL7jLUgKn8VX+BpEvotMaLQSixDlrbdKBPBDPXzTDuWObsBZNUc3
722
- DGzAnLXDFa0RQGclPDAK+8bCgLRHIKXYDj8MYMMDoQEu9eGG1yYExAdNyIj3
723
- wdezGWTL+i0Ibcs523381nMuiMeMadwNyTaX/8Ai1bGO3ZbgbnhgTQKAbIWP
724
- zOcjzxiQ5FHyPerRjjlUhF/ESNltuWDlD6f4y3u1LZkNUoC/slgglYbWTxHi
725
- LObRTAGouMeRy5Gtdgi4uVkNKc+6MefRZUsKvgoC2zrT51p7BtBLZhsZDv1U
726
- 80YEy+vdcpcXEjloccEgxX6WRgvCRX08zwpKHnWp23yNATu31ameXjzyQABf
727
- aaAZpe4MrW2N5CXbI1te4DW0WmYq3zba0Tw9iLAl/UWFjLm4W4xWmIfaL89V
728
- YBj24HONp33qyuYYwXSORyUWYDQhCWABlIiHkcXNtj4DWskuInQ7nqDuu2L5
729
- vRABtpYjfRC60ve2QP9dsUGUd2lqvWHQaj4yAck4YOZmO9udcYUEKBYBCVhA
730
- Axfww6zDHe6K+znQUpx1QaEcgy3vw9MMETkKSW4Qk1ed6gO5N8gJQtv70swC
731
- wlDyn31DalLjrM1oR3jBZjjAeBjjAgBoAAlUAAMY2EAFcmAbhcVdaj4nWYoa
732
- b8cMOp5lgrTWww19tIixHtVJl5zxAimsaw1feJp5wUVqJs+Rwz0watscwYNq
733
- uzE+oLce9GAIQ4ACFISQhnAQvcJFvzXSk17qFhDelpmGFjHeffJ4Qxpaw15I
734
- bX//LE/rb9Of9gSu15zc19847YMiei5AAIATgEELswMDGNJgBjPkYuhGt/j/
735
- 7DNeam/oVLyVH8jHCUGlxPt+8cCnd7+FD/kYcFH3A4ncsdG2gm7EIx0Xxny+
736
- 8VGSJGAEGA7xkAsbIAA3YAdoYAa3EwflAweuMGvh53d/R3vZMgsVcHsHkWzK
737
- FnWKp1j1FwNWRxAFoG8JgQnRIlHNxntVIgBlUA3JIA2Zp3mbR3QyFGBu5mrx
738
- sAoaQADtUT53cD7ngz6d4Bl7Jn7jp2ThhgpvxXQqxlQt13shRoLx93j1dmXR
739
- YmIFMVfP0lhc44WsggCDEAy5YAzjAIABt2b+xTYARoBuJll/tAoSQABpIAlF
740
- 6B8TFBkVZAiSQHG19mfm5iJE5wmhIoUDgWL4B29X/4hXJXiCA1FeIZgQkpdp
741
- iEctFVAJrOAKuVCD8SB2ZKeEZRduy1UO9WAJDSAAdLAJFFRBjIBBGTQJkxAJ
742
- 1UBuyydFAdd37UAJ4YV+60WJYeiI6Qd/JCZ/XmYQLPgsyDd10OIsZ4Y2JvAI
743
- ndAJntgM44GD/8VnZUdqzuAZf6AABLAHvYAIskiLk1BERVQKozAJxYCLF6eL
744
- r3cIGqaIlBcty5ZvxpYQIBh8CJGC0AJ1B3F/0SKQ1OIChVAIlRAK15hOF8aN
745
- o6aEZEAACoAIv3AJRLSOlGFHdbQJtjCIuKaLAQcrQ+cHFiFbA/lX+pCJjfcs
746
- +yZmw3d1zKgQIxaNCrGMYP8Dg1biAn3QB4OwkA15gzHXZ/5VD94QBABQAZKA
747
- DD/EjhxZR6mgCnnER7owbuW2IIX4eoVWEfoTLfuXEMK4D/oQVzj5dGX2VwYp
748
- EPzylfFlVPpQhQcBhlvnOSagBnKQBwrJkLkAJzc4dkdnCRoAAB9wCrvwlHrE
749
- CqSEG6iESlYZc/EoRelAdGxjaBPBAQrFVGl5j11YhR9XZTFQAFL2XlumOY3W
750
- NQbJAYq0kg9RWHDJKiKABWXQBngpCXoJimL3kAsyDmXQbSqAC4U5lZZBC8JJ
751
- C4vJSs6EC/53a30pkvawDukgcUPXDukGEU1wmV+jD7DAkvZ3nS8ZAyCYOZvj
752
- dC7/iYJgg0uYcDwO0FvPQgzaOXL70J00EwFEgAVYIJs/aY2rUCnlcJtqpmSU
753
- QAJrcgNiskelVJyspBn2BAy3SIiP6SLOuQ7gBys+EBHi+TVxVaHHOBAF8J1h
754
- mFixhZ2pggluAHX1dWwlUJbRQmU6OZDF9zwE0ABDQgRSAAZt4JOV0AmxkJ/N
755
- gIpINwxPYABrogTwMZyrhAvF4EwIKk3UpE/QwAzQ4JgN6iLpsA7gMHQTNng5
756
- wQFElSpu0Jpc+JIF0ARbCgsZtaIIUTbNSDMbUAQS0AA40ANSUJ95MAiJ0Amh
757
- sAp7GYr2MA5vUAF4VgYLg6QIGk2ESk3QkE+Fwk8u1ZdN/2gP4PCg0akO1rAC
758
- U1EAZkoRzsKW/zIAOWAHQyAAIrADcBqbc1qnsVAN2XILehCEa4IAcvANzOBM
759
- hAoM+VSrLqWoa4RA0/BHUdqc6fCrzhl9o2MM50caZTN5nqMAWjBBCiAhcDoF
760
- bSAHP6kKuOAKg2AFfuorTxAP9RRNtKoM/ISr1dAtmFRW4sCrWCmSVPqrUxqZ
761
- g2IN6mAKDjIczpKM1CIBdoAIvXADRhMBK+ADM9oGbbAFObAB3fYoAvBwyqd3
762
- 3YKrMDUrEIZWZdWg+LCuUwoOU/qu6oANphCFpFE883CpMbIBiCAJy8BteIYA
763
- C7AA0GEuQrIAD9AAMKAO4YczMf+lXRG2JaFYiPCAsewKrO1gDdeADdWwcGvh
764
- V+/FUJmWmaxCAqDACbvQChYwMQiLNA1QARtAAi5AAnlQdONGRp8VYSZlDnoq
765
- kuzqnGdbDtWADUTrCL94Frn3ngLBgvBJMypwG99ABC5rtVgLAnR3AznQAzyw
766
- CpK5hHy3JWWFs5zBnBZ7to+qtkQbDIPwZGnxcVwQOW8JRi9QStCACgxntRKg
767
- AX77Ai8QuKkXO2gwYTQLexdIY4hbVqOlDubGro8KrBgLodXwDLorB2tBkCna
768
- nv/SA0hqIksgABYAAlpbuj1QBLFDOxD4BYkATK/XuhdGRuLwWfUwSD+Lttub
769
- DrkbDMH/UAZs8Z2q6UhQEE3GkAzb4Al5YbqqpwVfsH1xIIHk8wrRqZVLmHmA
770
- xzbcRbZVdLaOe7FTag26AL5Y0BYlsKWMFhG8JbIywQGaKhEQxQVMGxFYwE/F
771
- sg1twAOxoX1pML92sIfogwgJVmp7F3tHt2T4sItp5Ur14LO167Nnuw7WwAu6
772
- oAtSsBFMeyqnko9UwYL2ioI8bCov9mtDfCpkWYwRUVHv1X4XUQbXUA2Esw2o
773
- QAVfML/mc4R8iAiGEAombMJFhsI3qItstcJr5rPci7YWuw7OcMOxkAMa4QZp
774
- ioKZWgJjeBUcAAsRvEUuZsdz6RAFUALvRlvEUDmRc6HIWhEp/7jHE6EG5jAs
775
- TCQNfXAGWvyKsigJwYC/EhZ9+TvGLjJI+JAPhEal3CvDtAsPzhALuhALKpAR
776
- mYZf+8BQkQO8TVEAu9cQZjYQmBMRxaOdZWNic9wRZVPEDiEArwLJ3sANroAH
777
- liwJQaSOoFDCZATGm5y/GUjGQ9eu22vK61AOsRALrNDKGEEILAi8+oB8XEPM
778
- SeEAmEDLiziXRUWhznYQsUWiI8E1GoEAi9AOlJIxA7INjGAIGISR6tiRrYC/
779
- ZJRZA4OB5sZWEld0pPyzv/qozqkLrNAJH4ARWprOCMFFXocJK1mvqJm5G0oM
780
- iSZYeWzSbkAIHLCh71kAzqJRNJBoxP9wuTFAA/tCOfsyLb2lD6uSx/2iVHKL
781
- 0/MnEKhCDPPQ0pEDCzC9D6tSMhuKCfPAngdBW/vHRTNz1En9mUQ11RyQx/qA
782
- nV6Xf/twXtPi0kwd03KcuUYNC0j91SKdX1xH0yadz57QDpBcINwQC5FQ0E9Z
783
- CsWA0PibwiqMDw9davBaDg96u7U7peugC6dQCROAEYFVNkFcPP6iP565D7l1
784
- yJXGPBclEPLFPLtcMvVKW9PiVxKVgvoVyO+5KiwoNqz9mSaYWwUQW+cZA7ss
785
- EORcMsRgXKdd1IRgovuwx4ccAyUACzPT27q9QsON3MWtlkG82xywQqbdV/0C
786
- moXH3L9dMpz/fWzH3XQhC91kSBELcF39jI3jwA7NsAm2YUfA2QonLNh+l3mD
787
- lA/3wMlkFA7VUA2Kzb2065zBcAqIoFoWQQPHpj/l3XTFbcdUNhD7sEIgDdP7
788
- VjYSNQ/w5dZ1FbKxVQJc5GlbVzbMwzUMlWgQLlxlo1GRIxB+VeL3BQscXnlO
789
- Ldqc9p4wvsAx0OIC8dsmqFHnpT8+DOEgCs8x/jK0JVE63txqKVzF8zJcdDyL
790
- nM+KEA8ZkzFNwg2vUBlTqZiMKdh9x9BLlg/ntrrTti3Y8AzhsA7OicbAmgun
791
- UAhvOxH7JrcpZyq69c6e9uHqd1C0xWJhMxB/nn/Ipz/ME1uJpeHS/y3aZsng
792
- DJUqkSdctHU8gV4Q85BbCpHLczt5GM7iWVbpUGfoB/HLn4kJnjbpvD3Pm57j
793
- WUboRs1l3ol8w6wRBHAz/cxEK3IOzVAKwymcxaALtgANg/3lfubJa1UPJ0w9
794
- 1kC0zxAM1rAO8CDRA8wJgEC5FIEqKHPOLRbMR551+8BivUwIyMdFVx3dA4Hh
795
- 7bft3jnPMRDosa7q5yXVED4tWI0q7SwQ4h7qyrPVJzaXZcMF8w4L9Y7c+X5e
796
- 6YUQ9WzU53XvCbVC/x7w6O7oMZDqDL4Rj8XPhWMnLFKc0oSg8+21Vhmlh23C
797
- 8Mq2/b3sBazN67oO3vAJdnAvEjzWzsKiY/9N1iRIEAUP8TddeE0eVcvt6rod
798
- ZgpP4rzt84clEClO3oJMEDt/EGGK7WX2lZj9y0mPgn61aSb+gWVt71FWjP0m
799
- 9a+14kue6HNrkxjhBfxcKcjCIu/QDEa68dTEDLzId5LJqCIZ8mRUMCRfDeAL
800
- vjf832c7Dp/wBRZBCFo0y8hT1Ib3kvhc7o2F84s/8TxfV3PuL7089pKPaeQe
801
- WyVz9JgmUY+v9OdVPFjPP7PM+Z/JATTAAWsi+iY43T5f8wQR66ZfABIF8UAu
802
- 9hHPfhsRBO2gMdiI8eeADtHUpOF6DXIf99Y8foRG5ogtqUT7vbwQ/RYdC96g
803
- 5rZ7ClVQESVA9lz/E+ThXRB//OSDNd5CXwL6EI2IPhCYcGa0FWac//jYeeJG
804
- X3gsCOhADwtjk/5Rxf4zv+OxPFgAsY9QjBj6YBF0AMvBPmIAADTBFKPEPjcE
805
- LRIssO/gRYKwiHF0s68EQYMIFcYQSJCLSIKYNhKiyFHmTJoELYzzlmzcOHQ9
806
- 370j5w0YtGtEr6lrlzRpPKXtmMaDGq/eVHtV6zFtmlSdta3VvBYLFjaYrli6
807
- WJ1Ktg5eunXpTgmpGdcBTH2EBMQoQGjePn00LM7dC8vvRQ77mnBsos8NoZN4
808
- 9c3DxGWeXZIDCesjBqvJXkIOVjrouDEGsX2YShQ+HCOkRUKYDyeeBwsW/4fK
809
- M0MScgOrAEcasPbxhRV7cAzYsmnfZrx7oT7TF7n4Frj7Yt27Fpvsm/f6sfEY
810
- qAnSwD6QA+bZ+uqCjpv+ooBD7ZpJ6+kTKLti06aZw48Vq1L9TqFOraeq/bJq
811
- p5utsKnmmWfAGqssVh48hZNg7FkrHVd4UC9DDTeMwYES0LOogBIKWGg4mkTc
812
- TUT1VFRvxBBP01BE2jh8cUaMYPzLRRpl4gBEGWviwEYUOxxpx7h8iMebbeL7
813
- 6R153LHmG3XwQ6qp/pxSJyqpAmRKnSqV8rIba7BB0BhoiuGFF7J0MeusU06J
814
- JJZ17FnHlRGMxDNPgoqMQS89/wQ0UEEHJTRDBP8oiYcadtj5SR6g3GFHHHO8
815
- zHIpS51aSksAtYQKzG6qwUbBYJ7RRc01H3TzlE8+aSSUdOxZpYNCZyWosIqu
816
- 45NWXXfltdc8W5BqmyadfFIea6i8FMsro5oKnnXUctbZZ9NJJ55y4gnHG2us
817
- MSasst58E5RLPomk3EYSkcaXDXwNtABYMGuOXXnnpbfXAMIIkJtG5XnSnXEk
818
- RWq/AZ/671mDDzY4WngWZthgasHBKRlj0mTFlVM6kcSQSlY5oV6PPwY5ZJD1
819
- qOqcc/il50lyzBEnWUsJnupZadVCuGaaGcYZ53XYySYbX25ZpZJHBHlBZKOP
820
- RjppPMM45557yHHnSXr/3PGmZYExZVZmm7c+OJ2eex5mmJ+BXsUSoQvBA441
821
- xMgCjUQwVDpuuedOWoRA8MGbHr3deccc/vyDii2ta0bn62zC9uXnVUTJJBNL
822
- FFHkjz/4oEPtMca4IvMoNtc8jjkGiWIAukcnvfReBWghDFmi0VtvgqMax/Cw
823
- b6FdFMYbX2QRyfngQw425mCDDTLUIB6NM9a4PHnlL8/8iijAAF6OMSgwvXrr
824
- r8eTAAuA0EMTYeSh56pmckHFFEccGaSPPvKwIw465CA+fuKHnz94NtDAf43j
825
- l2cec8yb3xwYhje8MaAAewdEYAItooEjvMGBZAjDE6wghjWkIQ1msGAa4mDB
826
- /zNo0INxaAP85KcG/OHPDCcUQwqzkEK2sS0LWdCc5jaHBS+AYQplwEIWahAA
827
- BfbQh3MLwAzmQAYvPOEJSzCiFdaGwQxakIkXbKIF29CGMrTBhF8QwxfM8AUu
828
- crELX6RCF5xABSeUMQlRcAISopCEKVhhCm/EwhbSmIAf1tGOIAsADuZghSP0
829
- 0YhH1IIYvgiGNHyhkIcEwxcSmcg0gKEMjixDJCWphS9QUguXrEIXqkAFTnIy
830
- CU5IQiiRgIQkKOEJSkClEqaghB9EIQN3hGUse2WCOShhB0ToYy6P8EUtdIEK
831
- WgCDJStZyUta8pJYAMMW4rgFLzRTmZusQjSrAAUqMP/BmtYUQhKY8ANu4rII
832
- RPgmEYigBB4goQayRGc6A6WAKtiSCEEggg+CcAR4ZqGTvyymFqqQT0zqUwtx
833
- BOgWBGoFglpBClBgAhQUWgQmFEEIDxUCD36gAx74YAc9yAERdpCDHuzABzXQ
834
- gQ4MoE6SlnRDJyjCDXrgg5X6QJ5BEIITmDBGmepTmjeNJhRyWgUp9NSnPX2C
835
- FP6ohCEMQQhFFUIPeLBUHtQgBziQQQ5kcAMZVLWqO7ABSKlnUq529SIDeEEO
836
- bNDRju7ArDgIZVpDmVCFttWtbj1oEaDwTSWI065HeGcQWMpUpfLABjawqgxc
837
- 4ALBDtawLGBBDULgVcZytQH/L7ABDGxwA8pSdgY50AE3NcvNhspVrkUFrVFD
838
- C1oiDGGlpzVranGAg7/+9QUvMGwKXCDbFNTWtidAAQtQILrG9hadE4DBa18A
839
- A+LKYAYzAGlIlatc0Cb1qDwYAnSlq9QeVLe6ObgBdm+w2tUe97gueIEKZltb
840
- E6TgBCYAQXrVa4IRhCAELKCjb+V7RwuoILyvJW5+a7Bf/va3BkrNAQ8CDGCm
841
- LrW1gJ3sDWAgA+LOAAYzaAEMWjDhFZxAvRfG8AZAsIEOZKADKNjqfEXcwweo
842
- wMQmhoF4W/ACxLbYxYidbIwPfGDICle4hnXBClww4QmbgAQk8AB6QfCBDRTZ
843
- yEW2/4AGknwBDGCgwzwccZQPiIAfV/kEJ1iBCVCwZS53mcs1fq0NbGxj+4rX
844
- xCtQQZazbAI2e6ADb+6ACDSgZCVbwM4VsACe82yBCVDAzxkYqZQFXb0AfIAE
845
- hvbAj9HrXkY3utEjKPN9y3xiE1/5yj5mM5uHDGc40xnPFaiABEQtgQiQmtQP
846
- kAAFJAABCsR30K8e3QVUsIEP1HrTTcZ1rnU9ghOQwNJXHoGvq0yCIX8gvSIg
847
- 8psv0IELNPsCFMgzqCMw7Qc8oAHXxja2GfCABDwAArAG99wS8IIOWOACRN4A
848
- BVjtZ3a3u92GPvShaz3vWtP6yM328wQuMAF+81vV045AA/8Cfm0FFLzgCDB4
849
- wROgAAbwNtwPN1oARjCCC1TgAkpmwAESkAAGdNzjH2dAAijAYWZ3QAMXMPLF
850
- L2DnfbO7396WQLUfsG2CN2ABClhAzhGwc57v3AAIOMABFOBwiBf9YxRAQQcm
851
- IIEKPGAATzfAAAwwdaobIOhXnwCfVz4Bi2e93+1etcwZ0ICxM0ABC094z3s+
852
- AAIggO0GIADcox5oo9edXgfoAAYoYG0DOAQAAQA84J8+eMIbQAITQPXhZe5t
853
- xo+d42cv+NUlz/O2I4AAl8c8Adi+ec6z3e6fl9cA2q0Av5fe9KcHgAGqne2N
854
- t37jChD61as+dalL/fKb1/zmBTBdgN0LIAC+/z3vBwBl0BdfVwmAAAMgcADU
855
- N9/0CJd80GdPdalHnfCEz33vf7994AM/8N8nvvHFP6gBZDwBAzC94AkfgOZX
856
- 3/rWv378nx6A4Q8f8N4PvEMAP37+6ykgADs=
857
- EOS
858
-
859
- NEW_GIF=<<EOS
860
- R0lGODlhFAAUAOcAAP////7///7+/v39/fz8/f26//v8/Pv7+/r6+vn5+vj5
861
- +Pj3+Pb39/b29vT19fPz9PPz8/Hx8fDw8O/v7+7u7u3t7ezs7ezs7Orr6+rq
862
- 6uno6efn6Ofn5+bm5eTk5OPi4+Hh4d7d3tzd3djZ2djY2NXV1tPT09LT09DQ
863
- 0M/Pz87OzszMzL+/v4aGhoWFhYSEhIGBgYCAgHx8fHt7end3d3RzdHJycm1s
864
- bWpqa2RkZGJiYlxcW1pZWVZWVVRTVFNTVE1OTkxLTEdISEREREBAQTw9PTg4
865
- OTQ1NDAwMC4vLygoKCIiIh8gHxwcHBgYGBYVFhEQERAQEQsLCwoKCQcHBwQE
866
- BAMDAwAz/wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
867
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
868
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP//////////
869
- ////////////////////////////////////////////////////////////
870
- ////////////////////////////////////////////////////////////
871
- ////////////////////////////////////////////////////////////
872
- ////////////////////////////////////////////////////////////
873
- ////////////////////////////////////////////////////////////
874
- ////////////////////////////////////////////////////////////
875
- ////////////////////////////////////////////////////////////
876
- ////////////////////////////////////////////////////////////
877
- /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
878
- CgB/ACwAAAAAFAAUAAAIxAD/CBxIsKDBgwgTKkzYouELGDJo2Fj4pwWAixhx
879
- 5EB4RaALjAACENABoMfAjif/xMA44IACHj+ACPmDsuAMAAIMJGDwQIIFFkQS
880
- 1gCAYIGDCBQwbGBhpOAVlDcANIAw4YKGDh9YIBH4tGAOABEqZODgAUQIFkoS
881
- 7gAglqxZEiyYHOzoA8DYsiFImGDhhCZBlEEAuM1rIgWLKFwNDgEgYkSJEyhU
882
- rGAxJWERFpgzZ66S8EgSJUuaPIEihYoVLBRTq169MCAAOw==
883
- EOS
884
-
885
- OPEN_GIF=<<EOS
886
- R0lGODlhFAAUAMIDANnZ2QAAAP//AP///4SEAP///////////yH5BAEAAAcA
887
- LAAAAAAUABQAAAM4eLrc/jDKSV24dQUxxP0YFHQkxwXRVpaoyIJwq6geYd+3
888
- PHcB7uuzmi/3uAyJxRgow2w6n9DoIwEAOw==
889
- EOS
890
-
891
- PAGE_WHITE_ADD_GIF=<<EOS
892
- R0lGODlhEAAQAKU2AC5aFTJhFzxiJjZqGTdrGT9xIkx7MVOMKFePLWGKSmKL
893
- SmaOT3WZYJSUlJWVlYGrYZmZmYe5YIe6YIu6Yo26ZIy8ZZaxho+7ao+8Z7HE
894
- pbbIq7HTkLLSlbHWkrTUlbbUnNDmuubm5ufn5+np6erq6uvr6+zs7O3t7e/v
895
- 7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6+vv7+/z8/P//////
896
- /////////////////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
897
- R0lNUAAh+QQBCgA/ACwAAAAAEAAQAAAGisCfcEgsDmO0mnIZaxhpLZdUhRrV
898
- RI5iDSaDwVgpUu3VghBrsjTstSota+dkjRZzqUykEHz4ntdXKCd7Qn1+Lywr
899
- gz+FNTMyLy+KjDQaDAsJAnxLNJwZDx4gFwgEhJs0MwobHTYVGKSLb5wGHza1
900
- HK+MBRMSNhGuQjKFFgcUHBQHr0ZCAAMEAwE/QQA7
901
- EOS
902
-
903
- QUIT_DEBUG_GIF=<<EOS
904
- R0lGODlhEAAQAMQAAOgwOOg4QOhASOhIUPBocMAQIOggMMgoONBgcMhQQMhY
905
- SPiwqMhAOLg4OOhQUPB4ePioqNiYmP///wAAAAAAAAAAAAAAAAAAAAAAAAAA
906
- AAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAABIALAAAAAAQABAAAAVUoCSOZGme
907
- aGpGSuu6UZksdF0nMuTsvAPhJEZkQCwOIoySUMBsCpClxgNArQIeSVKDYOh6
908
- DYRG6TC1Uh+HUiESaLsDkYIaQq/X5SREYc/nI1SAgRIhADs=
909
- EOS
910
-
911
- RIGHT_SIDE_GIF=<<EOS
912
- R0lGODlhEAAQAMZvADp+NEKIO0WGPkSHPVFxqVFyqVNzqVNzqlR1q1R1rFZ2
913
- rVd5rlh6sFSOUFh7sVp8slx+tFx+tV6Bt16VWV+DuWCFumGFu2OHvWSIvlyi
914
- VF+hWGaLwGGiWmiOw2yeaV6rVG+QwWmlZGySyGyUyW6UymSvW2+Wy2+XzHCZ
915
- znKaz2+wZ3qaxG+xaHOc0XOd0nWe1HSzbGq7X3ag1Xa0b3S2a3mi2Hmj2Xqk
916
- 2nqk23qm3HDCZHun3H+8d3THaIWs3YbDfo2x3YvGhZK56JLLi5bMjprPkqPP
917
- nqfToqrXpbDdqrHfq7Tjrrjnsrnostbm1NHxzNLzzd7n8tP0z9T10Nb20db3
918
- 0eTq89f40tf40+fr9ejs9ufu8urw9+vy9+D93Ozy+OH+3eL+3u/z+uL/3uP/
919
- 3uP/3/L1+/T4+/b6+/b7+/f7+ff8/Pn8/Pr9/fr+/v//////////////////
920
- /////////////////////////////////////////////////yH+FUNyZWF0
921
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHv4B/goOEhYJA
922
- Ozk3NTIvLikoJiMrgj5Cl5iZmCB/O56foKAbfzhkZWFXTT1vrK1vF382pqhN
923
- Om9BW1lWUW8VfzWmYFhMMW8lLF1cWm8SfzJjZWBVSz8fNCohYl9vEX8vwVRK
924
- PEVEQxwCZm8Pfy2mXlNJMxkwGhNoZ28MfyfuUkgaTgY0aLMmzZsEf0hA8wLl
925
- SIA3HtS4ccPmzYE/IsaM8fLECABXrQr8IdBhAwYLFCA8cLBAAQIDBAgYmkmz
926
- 5qBAADs=
927
- EOS
928
-
929
- RUBY_DELETE_GIF=<<EOS
930
- R0lGODlhEAAQAMZzALxCBLlEA8BACblFA+4nLr1NBO8sM8VJEvAuNPAvNvAx
931
- N8NXGt5CQvI6P81NTfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR8lkJfFKSu9L
932
- S/VIS91kAfZMT8trLeFoA9RjSfdTVNpkS/dWV/1UVOtcXN9hZOBhZNJxOPhY
933
- Wd5kZuZkZP5bW/leXu5jY+NoaO52AOp5BeZ3IfpiYttvcfh2APpkY/5kZP93
934
- EftpZ+9/GPxta/dwcv9/GPlydP1yb+N+f/11cteFhfp2d/11df51df52cvx3
935
- d+uKQP+GIf54dNiIiN2Ghvl7ff2Af+uKiuOQkP+STfuJifiLi/6JhvSdY++Z
936
- mvKgoO6iovWocv+dm+ylpf+lpf6oqPa1jf+rq/e1jf+trf+xsf+zs/+5uP3A
937
- v//Bwf/Gxv/Hx/7Nrv/Pz//Q0P7WuP/R0f/U1P/V1f/W1v/b2//h4f/n5///
938
- /////////////////////////////////////////////////yH+FUNyZWF0
939
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHoIB/goOEhYaH
940
- iImFSYxAPjo4NSwoIByDSWNmbHBvZFpXVlVPPw9/SVliXm5qYEMuKi0kDkoz
941
- Cn9FU1txc2UjEhMYDEEpCYM6TWFyaSsVGRRLJQiFMkZnbTYRFk4mBociQm1E
942
- EFIfBwADhxpMXFEhJ19rWB2HDT07Al1QN0hHAYcGBACgmUMwB4BEA6jwoPHC
943
- AzpECy7EgLGhgCJBA84JCgQAOw==
944
- EOS
945
-
946
- RUBY_DOCUMENT_GIF=<<EOS
947
- R0lGODlhEAAQAMZaAO4nLu4oMO8pMe8rMu8sM/AuNPAvNvAxN95CQvI6P81N
948
- TfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR/FKSu9LS/VIS/ZMT/dTVPdWV/1U
949
- VOtcXN9hZOBhZPhYWd5kZuZkZP5bW/leXu5jY+NoaPpiYttvceJtb/pkY/5k
950
- ZPtpZ+5wcvxta/dwcvlydP1yb+N+f/11cteFhfp2d/11df51df52cvx3d/54
951
- dNiIiN2Ghvl7ff2Af+uKiuOQkPuJifiLi/6Jhu+ZmvKgoO6iov+dm+ylpf+l
952
- pf6oqP+rq/+trf+xsf+zs/+5uP3Av//Bwf/Gxv/Hx//Pz//Q0P/R0f/U1P/V
953
- 1f/W1v/b2//h4f/n5///////////////////////////////////////////
954
- ////////////////////////////////////////////////////////////
955
- /////////////////////////////////////////////////yH+FUNyZWF0
956
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHfYB/goOEhYaH
957
- iImFN4wwLispJyEdFxaDN0xPU1dWTUVDQkE9Lwt/N0RLSFVSSTMjHyIaCjgl
958
- B381QEZYWk4ZDg8TCDEeBoMrO0pZUSARFBA5GwWFJDZQVCgNEjwcBIcYMlQ0
959
- DD8mA4kVOkc+KgKKfwktLAHuggQA9Pj5+n+BADs=
960
- EOS
961
-
962
- RUBY_DOCUMENT_OPEN_GIF=<<EOS
963
- R0lGODlhEAAQAMZ0ADBxKzJ0LDN2LjV5MDyANe4oMO8sM/AuNPAvNvAxN0SL
964
- Pd5CQvI6P81NTfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR/FKSu9LS/VIS1aY
965
- UFiaUlOeS/ZMT1yeVVufU4OPTfdTVPdWV/1UVOtcXN9hZF6mUuBhZFyoUvhY
966
- Wd5kZl6rVOZkZP5bW/leXu5jY+NoaGGwV/piYttvcWusY/pkY/5kZGW0W2e2
967
- XPtpZ3Cwau5wcvxta3O0avdwcmy8YPlydP1yb+N+f/11cteFhfp2d/11df51
968
- df52cvx3d/54dNiIiN2Ghvl7ff2Af+uKiuOQkPuJifiLi/6JhorGgpDJiJHL
969
- iu+ZmpfOkJnPkfKgoO6iov+dm+ylpZ/SlqLTmf+lpabWnf6oqP+rq/+trf+x
970
- sf+zs/+5uP3Av//Bwf/Gxv/Hx//Pz//Q0P/R0f/U1P/V1f/W1v/b2//h4f/n
971
- 5////////////////////////////////////////////////yH+FUNyZWF0
972
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHm4B/goOEhYaH
973
- iImFSYxCQDs4NC0oIByDSWZpbXFwZ1xaWVZPQQ5/SVtlYm9sY0UvKy4jDUoy
974
- CX9HUl9ydGgiERIWC0MpCIM7TWRzaywUFxNLJAeFMUhqbjUQFU4mBochRG5G
975
- D1E+NzAnhxhMYVA6NmBeG4gMPz0FKl1YHokGHyU8V6rkIDAg0YYZVKZoEKDo
976
- j4IOGQI0FDQAwKBAADs=
977
- EOS
978
-
979
- RUN_CURRENT_GIF=<<EOS
980
- R0lGODlhEAAQAMZbAB97AB57Ax99ACF9ACiABCuDATeICjuLD0OPFUiQGkmR
981
- G1GXIVeYKFubKV6fOmOeMlygPGOfMWWfMV+hQ2miNWKjRmujN2yjPWalSGil
982
- Rm+kOW+lPXOmQ3SrT3yvVYGzWoCzYYK1Z56enZ6enoW2ZoW2aom4a466b5C8
983
- dJbBgJfCgpjCg57FiKHGiqHHiqXIjanKkKjLkKrLka3NlL6+u7DOlsHBwcPD
984
- w8TExMXFxcbGxMbGxsfHx8jIyMnJx8nJycrKysvLy8zMy8zMzM3Nx83Nzc7O
985
- zs/PzdHR0NPTzd/f1t/f1+Hh2eLi2+Tk2+Xl2+Xl3Obm3efn3ujo3+jo4Orq
986
- 4+vr5ezs5u3t5u3t5+7u6P//////////////////////////////////////
987
- ////////////////////////////////////////////////////////////
988
- /////////////////////////////////////////////////yH+FUNyZWF0
989
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHlIB/goOEhYaH
990
- iImKiyONjo+NgyNETk5PUFBRUVJTVEkjgiNKOjY2Nzg5Oz0/RVSgfyNLPjep
991
- q0AcEkhVryNMQjw9QEFFRhcfDFa8TUdBQxsaFA8eMh0JIrA0V1hZWhY1MzIx
992
- KC4ZBiKQIxEzJyYkICErEASGDTAvLSwlKhgDhwsKCA44SFFBwKI/BSYAOPhn
993
- QABCgQAAOw==
994
- EOS
995
-
996
- RUN_LAST_GIF=<<EOS
997
- R0lGODlhEAAQAOe1AB57AyJ7DiN6HSV/ACpgtyxityxltC5kti5luS9lujBl
998
- ujBmujFmuzWHCjNpvDuLETqMFThxv0OPFzt2xDx4wUiRG0mRHUJ5v0aULj98
999
- xEB8xEqUMkV+wkKAxUKAxlGXI0WCxUWCxkaCxEaDxleYKEeExkmFxFubKUmI
1000
- xkqIxkuIxUuJxUuJxkyJxk2LyE+Lx0+MyF6fOk+MyWOeMlygPE+NyGOfMWWf
1001
- MV+hQ2miNmKjRmujN2alSGukQWilRm+kOm+lPWSS23KoRmWU23SrT3yvVYGz
1002
- WoCzYYK1Z4W2ZoW2alW0+FS191a094m4a466b32w4ZC8dH2y4mW++2e9/Gi/
1003
- /YS24pbBgJfCgpjCg27C/Z7FiKHGiqHHiqXIjanKkKjLkKrLka3NlLDOlp7X
1004
- /6DY/7PS8bXU87jW9LTY9rrW9bvW9bvY9rzZ9r3a9sHb+MLc+MTd+cXf+cff
1005
- +sfg+sjg+cjg+sjg+8nh+8rh+sni+8zh+8vi+8nl+s3j+83j/M7j+8/j/M/k
1006
- /NDk+83m/NHk/NPl/dLm/NPm/Nfm8tXl/tTm/NTm/dPn/dXn/dbn/dbn/tfn
1007
- /tbo/tfo/tjo/tzq9t/p9N7q9t7r9t/r9d/s9+Pt9+bw9+nv9ejx+Orx+Ory
1008
- +uvy+Ozy9+zy+Ovz+uzz+e3z+O30+O30+e30+u70+O/0+e/0+vD0+PD0+fH1
1009
- +fL2+vP3+/j7+Pj7/fj7////////////////////////////////////////
1010
- ////////////////////////////////////////////////////////////
1011
- ////////////////////////////////////////////////////////////
1012
- ////////////////////////////////////////////////////////////
1013
- ////////////////////////////////////////////////////////////
1014
- /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
1015
- CgD/ACwAAAAAEAAQAAAI/gD//XMBoyCMGjJerJggsKEJUapOmZo4SlQiKUMa
1016
- /lMBiw4ePXfkvEFDKw2UIA1bvPoDyA+fOnDUzCLUx8oFgSxeBRok6E+eOG0s
1017
- iYrViQNOV4gWNSrkxw6cNWfMtBIhMMWqSI8cMTq0Zw4cN2xYjRCIAhUlSpMg
1018
- GSq0R8gNOKlCCCxRStKkR1kNDephhAQoDwJBhIKEF8iPHDOKhCFSIYPADp4U
1019
- GTK0Y4yYMGCidPHRQKAGTkuaMLEh5omTJEeQZKEx4B+FTVrKkDnxxQuXLUqw
1020
- 8BAgMEKmKlSmfLAg4UGMKzowGBDo4JMmTJcqVSJFCgKODbIINFTAYIF3BQkQ
1021
- BwQAcKCAwIAAOw==
1022
- EOS
1023
-
1024
- RUNLAST_GIF=<<EOS
1025
- R0lGODlhEAAQAOYAAEOTXkiUXzKESUOUW0mRXbPSvC2ERD+VVoe9laXPsE2X
1026
- X3S0g4C4jqPOrb7axcbcy8bay9fk2jyTTkOXVUGSUk2WXWCncFaSY3Kwf4G4
1027
- jXOxf0GNTk6YWlKYXjyNSEqTVFGaW12kaNnm2y98OE2XVlukYyp9MjWEPUWN
1028
- TFSgXMDVwi9/NT6PRESQSTp3PrbSuDeMOzZ2OkiYTDqIPUSTRmykbbDOsbjT
1029
- ua3Lra3KrbfQt/X49bjSt12dWFSPT1qSVHS2bHGmZ0qIO2yjX2+kYGyjWcvc
1030
- xXKmXmWjSIa0boe0bpa6gZK5eLrYpoG0WJ3VYIXOLp7VX5i/ba7ecq7cdvv/
1031
- 9vn+8P7/+Pj46P797/jwsPjomPDYgPjgiPDgqPjQYPjYePjYgLCDGbB8FKFu
1032
- D5poD6l1FP///////wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1033
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAAGgA
1034
- LAAAAAAQABAAAAergGhoBUA0BBUZDoKLiwgkUFNSS0EdCYyCEB9KTVZRTkxJ
1035
- HBGXFj1HKmdVT0hEQxqXGz8+OmdnV1RFNQqXAi4xObVnRkIXAJcGKyY4tTcn
1036
- IyADlxgeLTZnLygsDxQMlyISMjw3MzAPYg/mYowNEyElKeZZYjtiWOqLDwsH
1037
- AeZYWmL1vNy7hEZMFy0IEXIZQ1CQGTBbIm4BY6ahIDIYw3whY5FRmS9lOnoM
1038
- KSgQADs=
1039
- EOS
1040
-
1041
- SELECT_GIF=<<EOS
1042
- R0lGODlhFAAUAKEAAP///wAAAP///////yH5BAEAAAIALAAAAAAUABQAAAI6
1043
- lBVxyx2gmjwPzlntZVlv03lbKGLAiZZOmkYmi7orm9QvdMpvkn+IVtE5XMGP
1044
- ruij9JJK1WjJRCQYBQA7
1045
- EOS
1046
-
1047
- T_NEW_GIF=<<EOS
1048
- R0lGODlhFAAUAMIEAE4pKVMoKPSnRNW4kf///////////////yH5BAEKAAcA
1049
- LAAAAAAUABQAAANJeLrc/lA0CRedJ+gdQF0BIY7el40kUEIhKgrB17qw7L6x
1050
- I0jzONSVnugX2z2EA6LNlwSyhslmjrWrVqdHjjZiOlwU30+4S+4mAAA7
1051
- EOS
1052
-
1053
- TAB_CLOSE_GIF=<<EOS
1054
- R0lGODlhCQAJAIAAAJAALP///yH5BAAAAAAALAAAAAAJAAkAAAIPhI+BoNa8
1055
- 1EvLQWrzmbUAADs=
1056
- EOS
1057
-
1058
- TAB_DELETE_GIF=<<EOS
1059
- R0lGODlhEAAQAMZQALxCBLxDBblFA71NBLVQGLZQF79YHcNXGsJdJclkJd1k
1060
- AatzVOFoA8tsL6p3XNJ2PO52AOp5BeZ3Ifh2AP93Ee9/GP9/GJ6enuuKQP+G
1061
- IcyZfv+STfSdY9upi/Wocr6+u8HBwcPDw8TExPa1jfe1jcXFxcbGxMbGxsfH
1062
- x8jIyMnJx8nJycrKysvLy8zMy8zMzM3Nx83Nzc7Ozs/Pzc/Pz9DQ0NHR0NHR
1063
- 0dLS0tPTzdTU0/7Nrt/f1t/f1/7WuOHh2eLi2+Tk2+Xl2+Xl3Obm3efn3ujo
1064
- 3+jo4Orq4+vr5ezs5u3t5u3t5+7u6O/v6e/v6v//////////////////////
1065
- ////////////////////////////////////////////////////////////
1066
- ////////////////////////////////////////////////////////////
1067
- /////////////////////////////////////////////////yH+FUNyZWF0
1068
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHj4B/goOEhYaH
1069
- iImKixeNjo+NgxcwQUFCQ0NEREVGRzkXghc8JiAgISIlJykrMUegfxc9KiGp
1070
- qywvMTZIrxc/LigpLC0xMjU3Okm8QDMtLzI0NTgaCAEGC7AfSktMTU5OTx0P
1071
- JD4eDQ6QjwQjGxQZGAWJADtQ9BUAiQIcFhMQDAKJBxJIiKBgwKI/AgD8+xMI
1072
- ADs=
1073
- EOS
1074
-
1075
- TREE_NODE_CLASS_GIF=<<EOS
1076
- R0lGODlhEAAQAMZIAP/z/3zbZ//3/2/fYdr/4ELQMMvouD/aNIjmduz75nXp
1077
- bnDmXDrmLjbUJ0HjOPD/8UHULnzceDPIJsn/uEbjPvb/+Of69O328c/3w5Lx
1078
- ldb+2df60ELaOkvjQEHfMsX/xJfvh//8+v/s//P//7HsskrbQMD/tMTftDPe
1079
- Lf/+/1/VSTvcQfj//f/5/9H4y+X55P/y//f////0//v///X26F7bTubw5HTe
1080
- YnfgaYzmhf/7+7TorUXWMlLYQNrs1rTurdrz0+/88bLurafrn4Pjd83qyLvx
1081
- uznTJf//////////////////////////////////////////////////////
1082
- ////////////////////////////////////////////////////////////
1083
- ////////////////////////////////////////////////////////////
1084
- /////////////////////////////////////////////////ywAAAAAEAAQ
1085
- AAAHnoACLRUaJBElDAwlESQaFS0CLCkbCgcQBRwcBRAHChspLA8YCA0eIC4E
1086
- BC4gHg0IGA8JA0cLKba3KSYLRwMJJw4UuA4OtwYUDicBKBm3xCnOKRkoAR0S
1087
- zbi3HxIdKyrX2CkTKivd39jiK9XmuNodysy2ztDSAb/BzdDGyLK04Lm7vUSR
1088
- MoVKFStXsCRRsoRJEydPoAQRMoRIESNHkAIBADs=
1089
- EOS
1090
-
1091
- TREE_NODE_DEF_GIF=<<EOS
1092
- R0lGODlhDgAOANU3AP/NP//bkf/IOf/BMP/AL//ENP/ZTv/cUv/bkv+5J//V
1093
- Sf/FNf/USP+5Jv/RRP+9K//gVv/MPv+6KP/QQ//xrf/JOv/RRf/OQP/KO//v
1094
- q//FNv++LP/tp//akf/UR//YTP/spv/jWv/ZTf/QQv/SRf+8K//zrv/uqP/z
1095
- r//XTP+9LP/eVP/bUP/dUv/uqf/WSv/WSf/wq//0sP/BMf/YTZyirru/x///
1096
- /wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAADcALAAAAAAOAA4AAAZq
1097
- wJtwaLMNj0jbyohMslJMYXFa/HhG0ZrMRMmcQIxJRMCsoUKQAy08LhCMNUpa
1098
- pHAABO5Sw1aLtQx1ABULAw8NHXwuBjAWgoQPCQFwHC8kFxgaMyqRZTWenxsS
1099
- kk1DNRIIUaQ1qKRHNalCQQA7
1100
- EOS
1101
-
1102
- TREE_NODE_DEFCLASS_GIF=<<EOS
1103
- R0lGODlhDgAOAIQfAD6FMmekXGmlXmqmYGynYW+pZXOsaHStanivbnqwcHuy
1104
- cn2zc4G1d4K2eYS3e4i6f4q7gYy8g429hY++h5HAiZPBi5fDj5nEkZvGk53H
1105
- lZ/Il7fXsrnYtLzZtsDcu////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh
1106
- +QQBAAAfACwAAAAADgAOAAAFVuAnjkEwnmhQmWg6RaxYzqX0NDHg7R233QsE
1107
- S3exUGyNoKFg0hklEIeyICgBOhWoNHEoDAKYAIAjiWy730xzY2Yo0AG1CECv
1108
- AwjxWAsQ0Oj3fi0ofC0hADs=
1109
- EOS
1110
-
1111
- TREE_NODE_MODULE_GIF=<<EOS
1112
- R0lGODlhEAAQAKUkAHKtx3uyy32zzH+1zYG2zoS4z4a50Ii60Yq80o2905TB
1113
- 1pbD15vG2Z3H2qDJ26LK3KXM3afN3rbW5LnX5cHc6MPd6cvi7Nbo8Nnq8dzr
1114
- 8t/t8+Hu9OTw9efy9u31+PP4+vb6+/n7/Pz9/f/+////////////////////
1115
- ////////////////////////////////////////////////////////////
1116
- /////////////////////////////////ywAAAAAEAAQAAAGo0CQkFNxHI4H
1117
- R4UjFIY0EMJAUCgIBgSIJgT6YCIBgyTT6WQkhkAE8/EwAo2QfB66NAwPD+WA
1118
- CIlEIyIfInIWCwoUDQMTgAl/fwlyEwMNCQB+IwmOIpqEGwAJBZGNnI6OIR2h
1119
- opibkIQeoZasj5yEGaCKjJm0kSGTDXt9fn+DhQgHFG5wc4RydgEMHl5gYmRm
1120
- aGpsIE9RU1VXWVtNIERGSEpMQkEAOw==
1121
- EOS
1122
-
1123
- W_MAX_GIF=<<EOS
1124
- R0lGODlhCwAJAIABAAAAAP///yH5BAEKAAEALAAAAAALAAkAAAITDI4Ylrmw
1125
- 4puSwmpovXdryTVKAQA7
1126
- EOS
1127
-
1128
- W_NORM_GIF=<<EOS
1129
- R0lGODlhCwAJAIABAAAAAP///yH5BAEKAAEALAAAAAALAAkAAAIUjIFpm7oB
1130
- XjwtTlghk2j7r3HNUwAAOw==
1131
- EOS
1132
-
1133
- WIDGET_COPY_GIF=<<EOS
1134
- R0lGODlhEAAQAMZtAEJzxUR0xUV1xkV1x0V1yEZ1yEd5x0l5x0p5x0t5yEh9
1135
- w058yFN/ylSAylCDylKDzFOCz1ODylaBzlKEylWDzFOFy1SFylWFy1SGy1WF
1136
- z1WGy1WGzFaGy1aGzFeHy1eHzFaIy1aIzFiHzFeIzF+WzGWVzW2e0Gyg0Yi7
1137
- 6pG65JG75JK75JO75Ja+5Za/5pPB7J3D6q/R87TT9bvX9rzZ98Lc+cLc+sTd
1138
- +cTe+cXe/Mjf+sfg+8Ti+srg+szi+8vi/8vj+83i/M3j/NDk/NHk/NHl/dLl
1139
- /NLl/9Tm/dXn/tXn/9bn/dfn/9np/93p9dvq/+Pv+uTv+ufx7+fw+ujy7+jx
1140
- +ufy+unx++fy/ejy/Ory++vy++jz/unz/evz+urz/ezz/O70/e71++31/u/2
1141
- +/D2/vD3//H3/vH3//L3//P4+/P5/v7/////////////////////////////
1142
- /////////////////////////////////////////////////yH+FUNyZWF0
1143
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHtYAGGyMgIR4O
1144
- f4mKihZtXV9ZUFYoGYuKHGM5OzUyazwvCpZ/GmVBQjo0Tl5qJaIaZ0ZEPjcw
1145
- LisrJyYkihhpSUlFPS1sj5GTlRVmSktIQyyZm52fChNoTU9NTCulp6mrEQ9i
1146
- 19krsLI3MzFRIhBS40wqvsA9ODRYHwtgVVNXWinKzIYAscGlA4IEBAYkrPbu
1147
- yA80F0SFa/iDDAVR7ShSkSDqgD5+WrRsCdNAFAABCRMOKBCAQSAAOw==
1148
- EOS
1149
-
1150
- BOOK_GIF=<<EOS
1151
- R0lGODlhEAAQALMAAAAAAL8AAAC/AL+/AP///78AvwC/v8DAwICAgP8AAAD/
1152
- AP//AAAA//8A/wD//+b//yH5BAEAAA8ALAAAAAAQABAAQARW8D0kq7UgkA3O
1153
- JgtCBMCTbQcibiFQVqcLIIc3lmdqqRSscTaQiGQK/Dqf0M1nlDldElrvcjkR
1154
- eqrNtPhBLrRLK8ELJorJ12VRg0TI1EWXcT5/SWRUSwQAOw==
1155
- EOS
1156
-
1157
- FOLDER_GIF=<<EOS
1158
- R0lGODlhEgASAKIHAAAAAO/Tpa2qrYSCAP///4SChPfjtf///yH5BAEAAAcA
1159
- LAAAAAASABIAAANOSLrcLsJJAlWlUcbLM/OXYShAE4mWIIrlR6wjxpovHANG
1160
- u5w2G+iWWg/wo/V8QErgmCs2AMSh0wFdroiAwoRQRRa02ypgkAVvudDveZ0A
1161
- ADs=
1162
- EOS
1163
-
1164
- FIND_GIF=<<EOS
1165
- R0lGODlhFwAWAOYAAAAAAP////r6/P39/t/i6+Xo8brG4OLm7xc5fCBAfiZG
1166
- hClJhytIgjBPizRRiUpklvj5+xQ3dxc8fhg7fBc4dRw9fCFEhSFEhCFCfiRH
1167
- hyRGhiZIhyVFgidJhyhKhylLiilKhi1PjDFTkTFUkTFRijZUiztalDpZkTlY
1168
- jzlXjjxbkk1spklmm0pnnElklk5rn09rnV+AuVdyo2yJvGN7qHWRw2l+pISe
1169
- zXiOtHySuYmgyoyjzYuiy5Op0IaavZmowq+/3Zqpw7jI5MfS583Y7NLZ5uHo
1170
- 9T9flVN1r1V2rE5snlZ2rV9/tVVumWJ+q2N+rGeBq2yFsHCJsnCHrnKJsIei
1171
- 0HaNsnqRt2yAoXuRtn+Wun+VuZKkwo6gvcHS7rjI48TV8Jejt6KsvfP2+/H0
1172
- +Vp3pJGlxLLE37HD3vD2/erw9////wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1173
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAAGsA
1174
- LAAAAAAXABYAAAezgGuCg4SFhoeIiYqLjI2IXDgug0E4D442ZBiDmJqMP1cw
1175
- DSVrZqCijFoQaDUWa6lnVRqMW08sISYtNFIyHheLOWppYEwbDlYBY15LGYli
1176
- VAFDPCsSa1ZFRDpJE2GIXVEvIgsKCBxTLyMfFREniD4DRkI3CYNOBQRAMyCI
1177
- WQJfOzHzBNW7l29fv38B1wzEp+8QP38A6R0w0ANJB0RYyhxBkYLBIChNlKgg
1178
- QcGRyZMoU6pcGQgAOw==
1179
- EOS
1180
-
1181
- CLEAR_GIF=<<EOS
1182
- R0lGODlhEAAQAPcAAAQEBISEhMTExPr6+gAAAAAAAAAAAAAAAAAAAAAAAAAA
1183
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1184
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1185
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1186
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1187
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1188
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1189
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1190
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1191
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1192
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1193
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1194
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1195
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1196
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1197
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1198
- AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1199
- AAAAAAAAAAAAAAAAAP///yH5BAEAAP8ALAAAAAAQABAAQAhPAP8JHEiwIEEA
1200
- /wYkTAggQACEBgUiVKjwH0SIBzFG3Mhx4sIBDSE+LNhwJMeTKFP+ewigpcOI
1201
- HimaHBiTocMAND/anGnRYEiDLFu6VHkyIAA7
1202
- EOS
1203
-
1204
- EXPAND_GIF=<<EOS
1205
- R0lGODlhFAAUAMT/AAAAAP////Pz/fr6/vz8/9zd+tvc+QAK2A4X2hMc2xcg
1206
- 3Bwl3CAp3TM74DhA4TxE4U9W5FNa5WZs6HR56nl+64uQ7Zmd752h8Kyv8q2w
1207
- 8tHT+OPk++jp+9Hb/////wAAACwAAAAAFAAUAEAFh2AnjmRpHigaHNAQUNx6
1208
- yEA3B7iMJlKUorWDw9FoBIrFxY9myzmfOaBtCXVKDxGLNqDFFAg4xey6dE1U
1209
- A9VV9tAdFAHN7xCc2+31u54+TbnnTAcVVQEZBhcIY3yAKC0BAgFigUuOZzFq
1210
- iykROGcqHJhBK4NucBtzoX4/DHGnfXt4ALGys7S0IQA7
1211
- EOS
1212
-
1213
- EXPAND2_GIF=<<EOS
1214
- R0lGODlhCgAKAIAAAP///7cWGSH5BAAAAAAALAAAAAAKAAoAAAIPhI+pF7HQ
1215
- XkytOmTXfDwVADs=
1216
- EOS
1217
-
1218
- EXIT_STORY_GIF=<<EOS
1219
- R0lGODlhFQAUAMIEAAB9AIX/hZmZmTMzM////////////////yH+FUNyZWF0
1220
- ZWQgd2l0aCBUaGUgR0lNUAAh+QQBAAAHACwAAAAAFQAUAAADYHi63DuwwUmp
1221
- EEOSzfu4mNaN35Uxw0iCA+ACR6pyJQYEASzPRN3iOsJL5bvlYkLgymR8uXA5
1222
- D8sIrSo3nwnVCgXQps5XV2rSNJchlNBFZIl4vvcsrub13KiKvnRy+B8QCQA7
1223
- EOS
1224
-
1225
- EXPAND_LIGHT_GIF=<<EOS
1226
- R0lGODdhCwALAOf/AAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoK
1227
- CgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZ
1228
- GRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygo
1229
- KCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3
1230
- Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZG
1231
- RkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVV
1232
- VVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2Rk
1233
- ZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNz
1234
- c3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKC
1235
- goODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGR
1236
- kZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6Cg
1237
- oKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+v
1238
- r7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+
1239
- vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3N
1240
- zc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc
1241
- 3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr
1242
- 6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6
1243
- +vv7+/z8/P39/f7+/v///ywAAAAACwALAAAIKgD/CRRoa6DBf7YSJjw4sOBB
1244
- hwgbEpQ4UaFFixEnaoTIkSHEhxgZZhwYEAA7
1245
- EOS
1246
-
1247
- TREE_NODE_GIF=<<EOS
1248
- PCFET0NUWVBFIEhUTUwgUFVCTElDICItLy9XM0MvL0RURCBIVE1MIDQuMDEv
1249
- L0VOIiAiaHR0cDovL3d3dy53My5vcmcvVFIvaHRtbDQvc3RyaWN0LmR0ZCI+
1250
- DQo8SFRNTD48SEVBRD48VElUTEU+VGhlIHBhZ2UgY2Fubm90IGJlIGZvdW5k
1251
- PC9USVRMRT4NCjxNRVRBIEhUVFAtRVFVSVY9IkNvbnRlbnQtVHlwZSIgQ29u
1252
- dGVudD0idGV4dC9odG1sOyBjaGFyc2V0PVdpbmRvd3MtMTI1MiI+DQo8U1RZ
1253
- TEUgdHlwZT0idGV4dC9jc3MiPg0KICBCT0RZIHsgZm9udDogOHB0LzEycHQg
1254
- dmVyZGFuYSB9DQogIEgxIHsgZm9udDogMTNwdC8xNXB0IHZlcmRhbmEgfQ0K
1255
- ICBIMiB7IGZvbnQ6IDhwdC8xMnB0IHZlcmRhbmEgfQ0KICBBOmxpbmsgeyBj
1256
- b2xvcjogcmVkIH0NCiAgQTp2aXNpdGVkIHsgY29sb3I6IG1hcm9vbiB9DQo8
1257
- L1NUWUxFPg0KPC9IRUFEPjxCT0RZPjxUQUJMRSB3aWR0aD01MDAgYm9yZGVy
1258
- PTAgY2VsbHNwYWNpbmc9MTA+PFRSPjxURD4NCg0KPGgxPlRoZSBwYWdlIGNh
1259
- bm5vdCBiZSBmb3VuZDwvaDE+DQpUaGUgcGFnZSB5b3UgYXJlIGxvb2tpbmcg
1260
- Zm9yIG1pZ2h0IGhhdmUgYmVlbiByZW1vdmVkLCBoYWQgaXRzIG5hbWUgY2hh
1261
- bmdlZCwgb3IgaXMgdGVtcG9yYXJpbHkgdW5hdmFpbGFibGUuDQo8aHI+DQo8
1262
- cD5QbGVhc2UgdHJ5IHRoZSBmb2xsb3dpbmc6PC9wPg0KPHVsPg0KPGxpPk1h
1263
- a2Ugc3VyZSB0aGF0IHRoZSBXZWIgc2l0ZSBhZGRyZXNzIGRpc3BsYXllZCBp
1264
- biB0aGUgYWRkcmVzcyBiYXIgb2YgeW91ciBicm93c2VyIGlzIHNwZWxsZWQg
1265
- YW5kIGZvcm1hdHRlZCBjb3JyZWN0bHkuPC9saT4NCjxsaT5JZiB5b3UgcmVh
1266
- Y2hlZCB0aGlzIHBhZ2UgYnkgY2xpY2tpbmcgYSBsaW5rLCBjb250YWN0DQog
1267
- dGhlIFdlYiBzaXRlIGFkbWluaXN0cmF0b3IgdG8gYWxlcnQgdGhlbSB0aGF0
1268
- IHRoZSBsaW5rIGlzIGluY29ycmVjdGx5IGZvcm1hdHRlZC4NCjwvbGk+DQo8
1269
- bGk+Q2xpY2sgdGhlIDxhIGhyZWY9ImphdmFzY3JpcHQ6aGlzdG9yeS5iYWNr
1270
- KDEpIj5CYWNrPC9hPiBidXR0b24gdG8gdHJ5IGFub3RoZXIgbGluay48L2xp
1271
- Pg0KPC91bD4NCjxoMj5IVFRQIEVycm9yIDQwNCAtIEZpbGUgb3IgZGlyZWN0
1272
- b3J5IG5vdCBmb3VuZC48YnI+SW50ZXJuZXQgSW5mb3JtYXRpb24gU2Vydmlj
1273
- ZXMgKElJUyk8L2gyPg0KPGhyPg0KPHA+VGVjaG5pY2FsIEluZm9ybWF0aW9u
1274
- IChmb3Igc3VwcG9ydCBwZXJzb25uZWwpPC9wPg0KPHVsPg0KPGxpPkdvIHRv
1275
- IDxhIGhyZWY9Imh0dHA6Ly9nby5taWNyb3NvZnQuY29tL2Z3bGluay8/bGlu
1276
- a2lkPTgxODAiPk1pY3Jvc29mdCBQcm9kdWN0IFN1cHBvcnQgU2VydmljZXM8
1277
- L2E+IGFuZCBwZXJmb3JtIGEgdGl0bGUgc2VhcmNoIGZvciB0aGUgd29yZHMg
1278
- PGI+SFRUUDwvYj4gYW5kIDxiPjQwNDwvYj4uPC9saT4NCjxsaT5PcGVuIDxi
1279
- PklJUyBIZWxwPC9iPiwgd2hpY2ggaXMgYWNjZXNzaWJsZSBpbiBJSVMgTWFu
1280
- YWdlciAoaW5ldG1nciksDQogYW5kIHNlYXJjaCBmb3IgdG9waWNzIHRpdGxl
1281
- ZCA8Yj5XZWIgU2l0ZSBTZXR1cDwvYj4sIDxiPkNvbW1vbiBBZG1pbmlzdHJh
1282
- dGl2ZSBUYXNrczwvYj4sIGFuZCA8Yj5BYm91dCBDdXN0b20gRXJyb3IgTWVz
1283
- c2FnZXM8L2I+LjwvbGk+DQo8L3VsPg0KDQo8L1REPjwvVFI+PC9UQUJMRT48
1284
- L0JPRFk+PC9IVE1MPg0K
1285
- EOS
1286
-
1287
- OFF_GIF=<<EOS
1288
- R0lGODlhCgAKAKECAAAAALKysv///////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1289
- R0lNUAAh+QQBCgACACwAAAAACgAKAAACF5SPAstrEKIET85qA1VZY7tBWdgw
1290
- yVkAADs=
1291
- EOS
1292
-
1293
- ON_GIF=<<EOS
1294
- R0lGODlhCgAKAKECAAAAAADxF////////yH5BAEKAAIALAAAAAAKAAoAAAIX
1295
- lI8Cy2sQogRPzmoDVVlju0FZ2DDJWQAAOw==
1296
- EOS
1297
-
1298
- D_NEXT_GIF=<<EOS
1299
- R0lGODlhEAAQAKECAPEoAADxF////////yH5BAEKAAIALAAAAAAQABAAAAIg
1300
- lI+py+0PYwo0KCAqNTYB0G3fSH5ceIFndWWsBMeyXAAAOw==
1301
- EOS
1302
-
1303
- D_STEP_INTO_GIF=<<EOS
1304
- R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1305
- R0lNUAAh+QQBCgACACwAAAAAEAAQAAACJpSPqcvtb4CcEh7AcNKWb+UZwTgK
1306
- WigER1CZihpRKJy2C1mi1q4UADs=
1307
- EOS
1308
-
1309
- D_STEP_OUT_GIF=<<EOS
1310
- R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1311
- R0lNUAAh+QQBCgACACwAAAAAEAAQAAACI5SPqcvtCh4CcdGLbaKsnhqEoeEl
1312
- wXEKJZJiKwo74ijV9o0UADs=
1313
- EOS
1314
-
1315
- D_RESUME_GIF=<<EOS
1316
- R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1317
- R0lNUAAh+QQBCgACACwAAAAAEAAQAAACH5SPqcvtDyNAoYYFprm5+76FTCZw
1318
- 37lZmAax0QvHSwEAOw==
1319
- EOS
1320
-
1321
- D_QUIT_GIF=<<EOS
1322
- R0lGODlhEAAQAIABAPEoAP///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh
1323
- +QQBCgABACwAAAAAEAAQAAACIoyPqcutAKN8DFAJg012m35poHeMY1hqF6Zy
1324
- 1YNNzkzXSAEAOw==
1325
- EOS
1
+ A_LOGO_EXT_GIF=<<EOS
2
+ R0lGODlhaAFWAIACAAAAAP///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAs
3
+ AAAAAGgBVgAAAv6Ej6nL7Q+jnLTai7PevPsPhuJIluaJpmXAtu4Lq/JM1/aN
4
+ J/DOt/kPDAqHuJ4xRkwql8ym4gh1OafUqpUUzQau3K73+9RmweSymSgen9fs
5
+ timtdcvn9ApcXM/r9/f4/g941idl0BN4iHgVVbij05hIsTXhA1kZeYSAlMkD
6
+ Iunm+UBpORpqFCa6qekBisEyxOrgSjq7gHkqe4u6yoH7A0sLPGlaq5tK+PFb
7
+ mkzci2XRHAwJxfBCrapCeZ0L7chtffxd3AAezWbL7A3wiAwxTN0dzrgsT44+
8
+ f0AoXv7lbh9bvQHUPXn40r37lmqcMQkGCwpsuI/KOXvztNnZ1A4ivv6NGWsp
9
+ TBhBI7eBEZ30o/iv3sWCHRmSVGYtjMtWGksyObktpT6XrlRinHmJk0eZIUni
10
+ tGnlqDGDFoWtiwmUZzZvv2pCRLITqUmhOpkCpNkzqzpPA0cSO4v2Z8t/abUq
11
+ 4houa9Oi3e5JutpsZNVkea021OvWC1yKFb/ayTe35lhZKvuKE2U4HtulgbkY
12
+ iivXZ0bEkReLXapvEWGfl7c9rTyldN3O9BSvvtuY9dpxspkR5DsYNGowOJXW
13
+ RsPq5Q3hu3kPMjxXiWtfxfUcV9N8M/HoltMs9nyauvZKeK5j/709PB81U3OL
14
+ Pw9IdPnTn9G7Nz5svSrw7+t3sSUfeXL7/P6rxGesmnn9DZiEY1gBCJdqBC4Y
15
+ hH7PBScggxJiM9+DEGY3YYYoPGXhhftpCCIyFT4ImmYhnshLduW1FqCCKL6Y
16
+ InsIEuQdKsjBiGMHJ61Y44yt5QikiC3CRiSN8gWJZIyl8chkWO0lCSVtJcLk
17
+ nUPLRYllV9OtlmWXOtIXl5diBvShbmOeCRaYZaLJJmHKPNlmnFNiJmedh3W2
18
+ pp16sojglXvqOc2fgjqV56CGRmhooq/5qWidLjYKqVKQDgpQoZOOOSKcl3qp
19
+ jaWbQtlUcpp+muFvGHpGKpIfDgZmqhK2ap2rr+KmqR+yMgiOp9DdSqBoFwTK
20
+ a68T/fposPZJGi6Up8ZGV+ydrS4rXrNSPQtteIgWpWy12mVrprYTcguPtxqa
21
+ KG655p6LbrrqDlEAADs=
22
+ EOS
23
+
24
+ A_LOGO_RUBY_GIF=<<EOS
25
+ R0lGODlhPABWAMIFALgzM7k0NL04OOKpqea0tIhVVYhVVYhVVSH+FUNyZWF0
26
+ ZWQgd2l0aCBUaGUgR0lNUAAsAAAAADwAVgAAA/4Iutz+MMpJq72Y6M27xyDj
27
+ jeQWhmX6nZbqciz1zkQs0bQN4bPu8DmfAogTAohBHZIjaJZ8r0CA1KySoCqp
28
+ 1FO1Xm1Z7ZbZdY7AKbG2U/Z20CX1etN2w04u+ZheP+PTelNkfSsggIFsdWZ3
29
+ GYd6XIoChS1xgXt8ipMVjo+JmW+NJJaXGpGSoBeVo5CfjJQjo4KehK6vHbEj
30
+ ppqbooi5kaiGvXIlrSZ/w3NUtBpwS5intSjPL0JA0dFf1jSLi35G3NjZu1gq
31
+ 3ePBAAPrSubiKQzr8u3F708L8vnOkHzw+PnzLLATsazfPQUA9U0ASJBajXgJ
32
+ Bz6I2JBag4gSHVBc4OCwGcSNExNWfPYR5EWTQzwe6/EPIwSMAxqsVEnz4TGE
33
+ ChG+hCmzZkqbPnEGpAAz40ygSH8KzbiwaEylR4IeVZdT4NOlQ3cEbck0hkiv
34
+ VX18DcHQCNesRMuaPYt2Z9i1WLuefAs37lWNauuGzBtXb9qvfP1KcHpX8N+x
35
+ hi+4TAxiMWOrKB9HKCq5aUDElfuyzbx5btvEmDu7NRu45GeqchuX9hx2NQbX
36
+ rAlXSA0bL+HCk7OGPkx5tmwWv3lHVu3Yd3GwwwcfB57c8u7XyyHXFp5a8XTh
37
+ Yq8bN0KXs/fv4L0nAAA7
38
+ EOS
39
+
40
+ A_LOGO_SPUT_GIF=<<EOS
41
+ R0lGODlhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
42
+ 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
43
+ S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
44
+ J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
45
+ 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
46
+ 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
47
+ ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
48
+ Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
49
+ eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
50
+ Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
51
+ EOS
52
+
53
+ A_LOGO_X_GIF=<<EOS
54
+ R0lGODdhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
55
+ 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
56
+ S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
57
+ J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
58
+ 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
59
+ 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
60
+ ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
61
+ Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
62
+ eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
63
+ Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
64
+ EOS
65
+
66
+ A_LOGO_GIF=<<EOS
67
+ R0lGODlhPABWAIABAAAAAP///ywAAAAAPABWAAAC/oSPqcvtD6OcNNiLs6Yc
68
+ 6Q9eXReW2yiZKoZC6xu0DgzLDP3aCl7rBk/zAYA9G5H1A+lWydNBaTR5Mjto
69
+ S5qgVp0jbBa5BXdLN1FZS/J+zWE2R722ND5j6wJ9x6fIc31cXhHywNUWE2iX
70
+ J1b4JjjjN6XogpjotnhIWGh4Vhkx+Qe4CTrRSBkJKXr5OOS5atpJ+gR7qll3
71
+ 5IbJaKviw9Paylq76/uLe5UzzCfUxGeW3BTFDOisOhptOF28B9UMC1z1yX3d
72
+ 7b1Mq1spOyt6jpoei8dOS+w6b86u7joZXj7OKruPTNo6MfLe0YH0LKA4TdTA
73
+ ERS4MGE4VI6SAbwop2EejHyDIP4Rku0VJxkhK1JcUvKTMociR6482Ifey2wp
74
+ Z/qpuZIfxJM5OzrrWQ0m0DQ4h5ZyadRn0aQ6kTL9OO9pzFsah2JayktVSKe5
75
+ eOrchFJmU6gkxRpkIilUqp9T1RGFM9WdNrmZqipdyjaYWYcF9Xo1aRfw3qN/
76
+ Ww6O27drYcOJiYLkKjWy5MmUIxQAADs=
77
+ EOS
78
+
79
+ A_WIDGET_GIF=<<EOS
80
+ R0lGODlhGQAZAOcAAAABAAIABQACAAYAAAQABgABDgEEAAAEBwUCBwIBGQkA
81
+ DwMGAQYDCQYBFQsDAg4CCgIJDAkGCwYJBQYHEgoEHxAAJQ4HBQ4EGwkMAA8H
82
+ FBIFGAcNEAoLFREFIw4LEBYFHg4KGhYHFQwOCxQJEBEMChMMFxMOIRQRFRES
83
+ GhgRHxsQIxcXDx8RIRYYFRoWFSAXGBsZHiEWKiQZJCIWOiEdKysiKisgOi0h
84
+ My0kMzQuPDQvQzkuSTsuUD8wQjw0Qzw4Qz07P0M3TUQ3VkA6VEU9QkI/S0Q6
85
+ Ykk8U0s7WEg+WUZAVkpATUo9X1BDZU5JTVRDYU9JWFBKVE9JXlNHXldHWlpN
86
+ ZFlPX1VSYV5OYFdTXVxTWVtQbF5UZV5Va2ZSa2BUd2NSfGFbYGNbamZYdmlZ
87
+ a2xXcWVbcmlncW9kdXBlfHZleXBqb3Jlh3Npe3lrg3RydnRxgXlsk3twgndx
88
+ iHpwjXl0f4BujoJ4ioF3lYR3kH98jIN3nYJ9gYJ8h4x9nIp/l4qAkpGAqYuH
89
+ mI2JjZCGmI6Ik42HnpWGn5OTnZmRm52OrpaWmZuSpJqSqqGSrJ+Su6OfpqKe
90
+ r6ecsKGjoKebu6qbtaijorKgtLOevailtqulsK6jtq6mvq+prrWmwLCrtrGn
91
+ ybOqvLiyvsCxvMGvxLuxy7a4tbyyxbm2usOx1sG9wcm5xMK9yMW8z8TAvsa+
92
+ 2Mm83cbDyMnCzs++1svD1c/E787L0M/Ny9HK1tjJ1dnH3tLK49DM3s7P2dbK
93
+ 3tbI6drT39XX4drX1drY3OTR59zU7d/S8+HQ++TV4eDW6ePU7+Ha5uPh5eff
94
+ 6+Xk2+zc+Orf8+jf+ePk7vPb+d/o6uve/+bm4+jl6ufk9u3k8PLh/O7m/+3q
95
+ 7/Xl/+zs9/Tq8fLq9+3v7PTp/ffp9/Du8vHt//zo/uj19vPy6fjs//Tx9vfx
96
+ 7/nv9fHy/PL08ffw/P/w/vj1+vX2//v0//b59f339fr68Pr4/fv76//3/f/7
97
+ +f37//n+///+9f/9//3//CH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAsAAAA
98
+ ABkAGQAACP4ACQAYSLCgwYMEBSYkIKAPOH71/u3bR6CigIsCCC4oeCCCqn/x
99
+ 6P3rp44dOQIeMGYcuJHggQP8+O2T94+cK337YjFccJHggIIEgu3zl0hBLlYH
100
+ BsTjxxCjRo7o7O1rsEAENgIi6Olr2nPgT4Ig9N1D42HBgH0HBBDYB0wAz5UA
101
+ HBTUsC+fArcOKAo4wArcAAldAbQcSEBdvAYVc8mrSABSKLeBBwMgEIbbvkKF
102
+ 9MmLR0CavAgEIARWOPAAgSzu/r2DNs1Mvn2qKnqoSBDu5Aw0EP2b5wiekdeo
103
+ CsgDRtsr0EPjCNTz523evH39XCmYta/OBJcFFcCDRgBbEWzynNjoy0ZLgzlJ
104
+ VjIkLHglXb4EXwq8i4aiHCgr8QoxXk9wQsV0yBCgQUUJmKGPDhbBJRkBAxAw
105
+ QSLbmDECAVtsswYIXGFHUAE8EfAACIfAkwsmKkSwQIK1FcThAmYNIEAl+/ih
106
+ AIMoDmSbAC4e4AABhzzSQR7K9BBBBBm4RZBcGjHGIzwFTFAAI/n0kEAcSpB2
107
+ AFAKyKHAFfOwQcBLBLhhDjPlFECaZAIoIAY86eDwgGh7ETCGNVAw9JRLHkoy
108
+ D4OmuUhAEOk04CJBEDCIo0VfMmbaiQuYVhwAAQEAOw==
109
+ EOS
110
+
111
+ ARROW_GIF=<<EOS
112
+ R0lGODlhGQAZAOMLADG60Eq8znzAy7bFxnPAy7nGxsfHxcbHxcXHxbfGxsDG
113
+ xv///////////////////yH5BAEAAA8ALAAAAAAZABkAAARNEMhJq7046827
114
+ /xsxPGRpktt4rk+YsCc4rTJAIqYMg6ZiGy0P6YD6BTkxyQPYGRaVz0xyFr1M
115
+ qUfrg5idjAYaVXciImhE45p6zW67OxEAOw==
116
+ EOS
117
+
118
+ ARROW_LEFT_GIF=<<EOS
119
+ R0lGODlhCQAJAPIAAP////DMr9loPdJMHc49DMwzAAAAAAAAACwAAAAACQAJ
120
+ AAADHQghVCRBjULpWBVURnVtBSCOTlc5QrYF03kp3BMlADs=
121
+ EOS
122
+
123
+ ARROW_RIGHT_GIF=<<EOS
124
+ R0lGODlhCQAJAPIAAP///8ziw4qyRHelIG2eDWaZAP///wAAACH5BAEAAAYA
125
+ LAAAAAAJAAkAAAMdaCFUJEGNQulYFVRWi3YeIIpUk5XCSUFTdyncEyUAOw==
126
+ EOS
127
+
128
+ BACKUP_GIF=<<EOS
129
+ R0lGODlhFAAUAOczAAAAAAMDAwQEBAoKCRAQERgYGB8gHygoKDAwMDg4OUBA
130
+ QUREREdISDNEZk1OTlNTVFZWVVpZWWJiYmRkZGZmZmpqa3Jycnd3d3t7enx8
131
+ fICAgIGBgYSEhIWFhYaGhoiIiJmZmWaIzL+/v5m7/93d3czd//y5/+zs7fDw
132
+ 8PPz9Pb39/j5+Pn5+vv7+/v8/Pz8/f39/f7+/v7//////wAAAAAAAAAAAAAA
133
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
134
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
135
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
136
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
137
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
138
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
139
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
140
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
141
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
142
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
143
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
144
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
145
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
146
+ AAAAAAAAAAAAAAAAAAAAACH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
147
+ CgD/ACwAAAAAFAAUAAAInAD/CRxIsKDBgwgTKkzooSGHDRkuWFj4z8OMixgr
148
+ TFjYAeMMGS8kzICgUANGGC1WRHjggEFCDDNiuGChIgWKEyIUIAzB84PPDzwb
149
+ iEiws0QDjw1CABCBoGgDElBJNACw9EDREA2yJlUqwkDREWDDci3wdSqABiO4
150
+ EvgKYAEIAGmXDmC7gAJcrgJ2xqVglyeAAAASUh1MmKLhwwgDAgA7
151
+ EOS
152
+
153
+ BOOK_NEXT_GIF=<<EOS
154
+ R0lGODlhEAAQAMZqAAEuWwMxXgY0YQc1Ygo4ZQs5Zg08aQ48aBI/bBFAbRVE
155
+ cRZEcBZFchpIdBlJdh1MeSFRfSRjISRUgiZXhClpJSlahyxdizNjkTJkkjZl
156
+ kDV4Lzlokzhpl0KIO0mLREqMRUmRQUp+rFCRSk6DsU+ZR16Hq1ahTVydVV2L
157
+ s2KLr16hWWaQtGKjWlypU3OPqnSQq2qUuGqVu2KxWGi4XXSgxm2+YXumy3HD
158
+ ZXy+dnTHaKWlpYDBeqampqenp4LCfYfFgZPLi7W1tZjOkJrPk53QlJ/Slr29
159
+ vaHTmKPUmq/E16XVnKfWnrHG2anXoKvYosXFxcbGxq7apcnJyczMzNPT09TU
160
+ 1NXV1dLY3dfX19jY2Nzc3N/f3+Pj49/l6+fn5+np6erq6u7u7vHx8fLy8vPz
161
+ 8/T09PX19fj4+Pn5+fz8/P//////////////////////////////////////
162
+ /////////////////////////////////////////////////yH+FUNyZWF0
163
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsoB/goOEhYaH
164
+ hDY0gjEwKyklGIZnTCMhSWiZaBYcfySDUGNXXWlTUkZoExd/IB2CYFZBW2Y5
165
+ OTc1MzItLCcaf09VPVm0UU5LSEVDPz4iFF9VPMM3TUpHREJAOzgfEU9U0WW4
166
+ uiYkICoeEX9eVDpYZWFgWmcFDX8U6X9nYlxkZ2hnX84MWPAH358KEl64YJDA
167
+ AAEBARAUQoECwgOKGFEcKIRwQwaGDiECMCTBgQIJiFKqDAQAOw==
168
+ EOS
169
+
170
+ BOOK_PREVIOUS_GIF=<<EOS
171
+ R0lGODlhEAAQAMZqABFAbRVEcRZFchlJdh1MeSBeHSFRfSRjISRUgilpJSla
172
+ hy9wKi5gjTNlkzZlkDlokzhrmDuANT1wnkKIO0J2o0N3pUl9q0p+q0p+rE6D
173
+ sU+DsE+ZR1SItlahTV2Ls1iNulypU3OPqnSQq2qUuGCXxWqVu2SqW2OZxmOa
174
+ yGKxWGSbyWi4XXSgxnumy32pznHDZXu+cXy+doCs0HTHaIKu06WlpYDBeqam
175
+ poPBeqenp4LCfYfFgYbIe4nGgofJfIrMf47Jh4vNgJDKiZXMjrW1tZjOkJ3Q
176
+ lJ/SlqHTmKPUmq/E16XVnKfWnrHG2avYosbGxsrKysvLy8zMzM3NzdPT09TU
177
+ 1NXV1dLY3dfX19jY2N/f3+Pj49/l6+fn5+3t7e7u7u/v7/Hx8fLy8vPz8/T0
178
+ 9PX19fj4+Pn5+fr6+vz8/P//////////////////////////////////////
179
+ /////////////////////////////////////////////////yH+FUNyZWF0
180
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsYB/goOEhYaH
181
+ iIIqNDIuLSyCJSODM38nKGiZZk0ZGEpngjMvliRoU1BPYldcaVJ/M0E+Kykd
182
+ GxMRC1ZEWmVhMz9OTElHQ0I7OglVOVllUS88S0hGRUA9NjEHVTfMYH8rMDgm
183
+ trgJBVTbZFCCIB1/HxZnYV5dVDVYZF+DG38cFWf/ZsJsGWMGFCENEiA0YKAA
184
+ gYgQAgAUuuChokUDBCoWopBwYcMHDiImEoRgQAAEIw8FAgA7
185
+ EOS
186
+
187
+ CLOSE_GIF=<<EOS
188
+ R0lGODlhCQAJAJEAAImCejYtJE1CN////ywAAAAACQAJAAACF4yPoismAwQY
189
+ LUgIq5pR8+xkk8YoyBkUADs=
190
+ EOS
191
+
192
+
193
+ CLOSE_PAGE_GIF=<<EOS
194
+ R0lGODlhYQANAIQaAAAAADU1FTo6Gz8/IEFBI0NDJkhIK0pKLk1NMVRUOYaG
195
+ c4iIdYuLeI2NfY+PfpCQf5mZiZubjK+vo7W1q7e3sMjIwM/PyNTUz9vb193d
196
+ 2v///////////////////////yH5BAEAAB8ALAAAAABhAA0AAAXA4CeOZGme
197
+ aKqubKsySCDPdG3feK7v/I0oJksBgnEZj8hkCQMZVEgHiXJKrZ4mhJGjUQJ4
198
+ RQCTNwwel7+k8Zf8CZvb75Fajoaj5yMIQ5S4pOVtXYBsYCtsh4WBKIiMgicZ
199
+ ByJZf5SAiW9qhJZ2d3SanGdrdpyaAnx+m26OioOGqYmVsLCqhZ8ikCIOD113
200
+ eJhxeKuMa3Gbs6HIIxELI1FWz9BIEwMkFgMQFNHa2yQUEU4mDAY95OXm5zoG
201
+ QNzs7SohADs=
202
+ EOS
203
+
204
+ COPY_GIF=<<EOS
205
+ R0lGODlhFAAUAKUBABAQEP///5mZmRhCmWZmZnt7iAghZnuQuZm5/1qA2sza
206
+ /8zMzFJmiFJSUrm5uXuZ2jMzM1p7wkpzwnuIoTNKgOPr/3t7e2trgLnS/+Pj
207
+ 46m52nuh87GxsbHM/2uQ42t7kJCp2kJKSiEhIXNzc4iIiHuImXuZzNrj/9ra
208
+ 2tLS2nuQsfPz87nC2hgYGGtra3uAiHuQwmaI2lpaWnuZ4zk5OXuIqevr/4CA
209
+ gHuAgGtzgOvr63uh/3OQ6+vz/////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
210
+ R0lNUAAh+QQBCgA/ACwAAAAAFAAUAAAGrsCfcEgsGo/II2lJsoxGSSIpQKUS
211
+ GlHhFMUNyEKhrKW6KjvC0VFgwfZ4YoPdZoN0BVY63WaFElBnJkcEARyFCSgs
212
+ HycBDyYwRjIBOhkZPDooUz09GAdGDQECoRILGgwKpxgqRiEBlCgxOikFPTYV
213
+ GDVGNA67DhEoIBQdwhgTRhAiIi0ABiQ5FxUVJxglWSYBCNjYGC/VAdAn4NtZ
214
+ Bxjl5uJRKjUTJS/uLxZZ8vNHQQA7
215
+ EOS
216
+
217
+ CURSOR_GIF=<<EOS
218
+ R0lGODlhEAAQAOdnAAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoK
219
+ CgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZ
220
+ GRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygo
221
+ KCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3
222
+ Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZG
223
+ RkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVV
224
+ VVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2Rk
225
+ ZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNz
226
+ c3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKC
227
+ goODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGR
228
+ kZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6Cg
229
+ oKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+v
230
+ r7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+
231
+ vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3N
232
+ zc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc
233
+ 3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr
234
+ 6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6
235
+ +vv7+/z8/P39/f7+/v///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
236
+ CgD/ACwAAAAAEAAQAAAIfgD/CRxIsGBBZMUMKvxHLJuvhQWD3atmC+LAXvfe
237
+ QXtl8V8ueuzaLTtlsZY8dOfUGfMEMRY8cuLEnQtmaWErd+G+gTtHbpcjhank
238
+ lRM3rlKkRokMGSR1rRM0d5EsguL0j1K2YIkgUhXYSh6hjgIRbbvVB+w/Vdvy
239
+ mOWDpw7BgAA7
240
+ EOS
241
+
242
+ DEBUG_GIF=<<EOS
243
+ R0lGODlhEAAQANUAALGusR1ieR1jeR1jeB9leR9keCBleSJmeiFneSRoeidq
244
+ eydreiptfCpteytufCxvey1wfDhWUzFOSiA5Mi1ORThWTjFWSihBOTFORShI
245
+ PShFOS1IPSM+MiNBMi1OPS5qIjp8K1ONPJLBf3OnWJbDeZXCeZ/HgabJh67O
246
+ ka/OkbXSmdvrzLbSmbjSnLjSncbcrtXnwtvqzOfz28fcr8bbrsfbr8vftNXm
247
+ wsfbrv///wAAAAAAAAAAAAAAAAAAAAAAACH5BAEAADkALAAAAAAQABAAAAZ5
248
+ wJxwSCwaK8akUDKMKIWADUCISVoklAwEAtBMlQCIrLaF5CaXpGP2Wd1eDwDA
249
+ YxFGnIBGTLayuRhfRBgaCjArIjQsJwtJHAkvNjgjKSYJEx5FXggtKighJAdT
250
+ TEMUAAQGJyYlIAVGdUITAQMCAU9CHXK2Qxm6Q69JQQA7
251
+ EOS
252
+
253
+ DEBUG_CURRENT_GIF=<<EOS
254
+ R0lGODlhEAAQAOeBAB5XHB9ZHCBcHSFeHiFfHiJhH0RQJiJjHyNlICNmIDtZ
255
+ JSRoISRpISVrIiZsIidtIiZuIydwIydxJCN0IChzJCtzJi5xKip3Jip5Ji55
256
+ Ki57Ki1+Ki6DKTl9Ni6FKi2JKVhxOzCJKzOHLy2PKDKMLTGNLDqSNVCGOjeV
257
+ MmZ/Rj6XODicMzieMzqdMzihMzmhNDmkNDmlNDqmNDqnNT6lODqoNT+lOTuq
258
+ Nj6pODyrNjysNj2sODytN1SeTVOfS2CaRj2vN1yfQj2xOHiMX1SiTD6xOGKc
259
+ R12gRD6yOF2hQWOdRz6zOF2jQkusRWeeTVemTj+3OkC3OmqhTVmpUmKkV1mq
260
+ UWqiTlatUG2jUW+gam+haHOia3CqTXOoV2itYnKsTl+3T3OtT3qmdXayYHuy
261
+ WH60XIKvbIC1XoSwcISwcYWxcYK1d4S5YoyzeYy0eH7Ccoy8bZG+d5a/g47D
262
+ hZm+hpW/jpm+iZjBhZ3AlKXMjarIl6nLmqjOkKzPlK3UnrjXpMLjr///////
263
+ ////////////////////////////////////////////////////////////
264
+ ////////////////////////////////////////////////////////////
265
+ ////////////////////////////////////////////////////////////
266
+ ////////////////////////////////////////////////////////////
267
+ ////////////////////////////////////////////////////////////
268
+ ////////////////////////////////////////////////////////////
269
+ ////////////////////////////////////////////////////////////
270
+ ////////////////////////////////////////////////////////////
271
+ /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
272
+ CgD/ACwAAAAAEAAQAAAIuQD/CRz4D8pAHQQTCkQCRKAMhf+iDORxI8WJFiAU
273
+ LhHyb0eNGC/eABoCMQeOJn7+zBkBRk+JEB6KDJRhgw8bMmNUfKBiQCDFGTSu
274
+ 5DkThkmVPXU4KBgIwwWLPmW+JHlypwudDRgIrpgCh0sQInKwKLFzgUIEBwJR
275
+ eIlzxIcbK0bUZJGQ0ASJNT3aSPmRpgMEiCIm4DHjBI2YBgsQQNSQQcsWCwsE
276
+ EhAAscIDBgkOQIRYYMBmiAEAJAwIADs=
277
+ EOS
278
+
279
+ DEBUG_INTO_GIF=<<EOS
280
+ R0lGODlhEAAQAMZgADFzLDN2LjZ5MDd7MTp+NDt/NT6DNz6EOEOJPEWMPkaN
281
+ P0iQQEmRQUmRQk6XRk6YRlKVTVCZR1SWTlCaSFKcSlOdSlOeS1SfS1WgTFah
282
+ TVudVVqeVVijT16hV1mmUGGjWlypU12qU2apX2CuVmiqYGmrYWqrY2OxWGOy
283
+ WWqtY2yuY2a1W2+vZ2a2XGm5Xmq6X3S1bWy8YHe3cW2/Ym6/Ynm4cXi6cH68
284
+ dXy/dX7Bd4HAd4TAfYLCe4LCfITBfIXDfoXEfofEf4XHe4fFgYjFgofIfInG
285
+ govGg4rHg4vHhYzIhYzKgo/Jh4/JiJDJiZfMjpjOkJnOkJvPk5vQkp3RlJ7R
286
+ lqDRl6HTlqLUmaTUm6bVnKbVnafWnqnXoKrYoKvYof//////////////////
287
+ ////////////////////////////////////////////////////////////
288
+ /////////////////////////////////////////////////yH+FUNyZWF0
289
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHiIB/goOEhYaH
290
+ iIIxLisniX80RVdeXFE2HIczS19YWllTVTURhkJdXFY6N09SUCWFL1RbUiEe
291
+ GRROSjsIhC0oIyCDDixNSgeIGIINJkhEBIcXE38MCT45MgKGFiokCx9DQD0S
292
+ hhUpTElGQTg8GgCGDyJHQz89MBDthwodGwUDAY9/Bpz9G4QNUSAAOw==
293
+ EOS
294
+
295
+ DEBUG_LAST_GIF=<<EOS
296
+ R0lGODlhEAAQAOeSAB9ZHCFeHiJhH0RQJjtZJSZsIidwIx97AB57AyN0IB99
297
+ ACF8ASF9ACl0JCtzJiV/ACp5Ji55KiuDAS57Ki9/Ki6DKTWHBy6FKi2JKVhx
298
+ OzCJKzOHLzuLDy2PKDKMLTGNLEOPFUWPFzqSNVCGOjeVMkmRG2Z/Rj6XODic
299
+ MzieMzqdM1GXITihMzmhNDmkNDmlNFeYKDqmNDqnNT6lODqoNT+lOVubKTuq
300
+ Nj6pODyrNjysNj2sODytN1SeTWGdLz2vN16fOlygPFyfQj2xOGOfMWOfMniM
301
+ X1SiTD6xOGWfMV2gRD6yOF2hQT6zOF+hQ12jQmehM0usRVemTj+3OmKjRkC3
302
+ OmujN2ujOG2jOFmpUmKkV2alSFmqUWilRlatUG+lPW2jUXCqTWitYnKsTnSr
303
+ T1+3T3OtT3yvVXayYHuyWH60XIGzWoCzYYC1XoK1Z4W2ZoK1d4W2aoS5Yom4
304
+ a466b37Ccoy8bZC8dJG+d5a/g47DhZbBgJW/jpfCgpjBhZjCg53AlJ7FiKHG
305
+ iqHHiqXIjaXMjarIl6nKkKjLkKrLkanLmqjOkK3NlKzPlLDOlq3UnrjXpMLj
306
+ r///////////////////////////////////////////////////////////
307
+ ////////////////////////////////////////////////////////////
308
+ ////////////////////////////////////////////////////////////
309
+ ////////////////////////////////////////////////////////////
310
+ ////////////////////////////////////////////////////////////
311
+ ////////////////////////////////////////////////////////////
312
+ ////////////////////////////////////////////////////////////
313
+ /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
314
+ CgD/ACwAAAAAEAAQAAAIzAD/CRz4b8pAHQQTClzyQ2AMhf+qDORxw8QIFRkU
315
+ NhnybweNFy3qRDICMQeOKI8g6elQxtAHDReQDIxRY5GcNGhOYNAyQCBFGTO8
316
+ FGpj5gkXRXwqEBjogkWKRmrGMJHi50oSChAIoshiJ4yQI3nAFFkDo4GBAgJJ
317
+ iMGj5AsWKD7OJCITgqAID3B6WHHEKBGiO4O6WCC4IQEgIozozHnDxs2fIA8I
318
+ Tohg4xAhQYHi9NnCQKGDFSVAcACyh4oCiAMFBJDg5ABqggAWICAYEAA7
319
+ EOS
320
+
321
+ DEBUG_NEXT_GIF=<<EOS
322
+ R0lGODlhEAAQAKUrACRjISlpJTV4LzuANUKIO0WIP0mLREqMRUmRQVCRSk+Z
323
+ R1ahTVydVV6hWWKjWlypU2KxWGi4XW2+YXHDZXy+dnTHaIDBeoLCfYTDfofF
324
+ gYnGgovHhY7Jh5DKiZPLi5XMjpjOkJrPk53QlJ/SlqHTmKPUmqXVnKfWnqnX
325
+ oKvYoq7apf//////////////////////////////////////////////////
326
+ /////////////////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
327
+ R0lNUAAh+QQBCgA/ACwAAAAAEAAQAAAGS8CfcEgsGo/IpHKZVDCHCAKxUplI
328
+ IpDHQoFwMATDiip1Ko1Cn84mc0kEhBOUiSQCeTgajIVyAAivWVtRAwUNBn5M
329
+ AYhMi0+Oj5BHQQA7
330
+ EOS
331
+
332
+ DEBUG_OUT_GIF=<<EOS
333
+ R0lGODlhEAAQAMZHADl+Mz2CNz6DN0GHOkKJO0WMPkaNP0eOP0ePQEmRQkqS
334
+ QkuUQ0yURE6XRlCZR1KcSlSfS1WgTFahTVaiTVmlUFunUVypUl+sVV+tVWiq
335
+ YWmrYWKxWGOxWGyuZGW1W26vZWa2XGi4XWq7X2u7YHS2a3a2bXW4bXi6cITE
336
+ eYXDfoXGe4fFgYnGgovHhY3IhpDKiZLKiZHLiJHLipTLjZbMjpXNjJfNj5nO
337
+ kZnPkJrPkpvPk5vQkp3QlJ7RlJ7RlqDSl6HTmKLTmaLUmaPUm6TUm6bVnafW
338
+ nv//////////////////////////////////////////////////////////
339
+ ////////////////////////////////////////////////////////////
340
+ ////////////////////////////////////////////////////////////
341
+ /////////////////////////////////////////////////yH+FUNyZWF0
342
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHboB/goOEhYaH
343
+ iIYYFol/IR4bFyclEIcjKjU/REE+OR8MhSIxRj1CPzw6NhoIhShFQzcmEg8d
344
+ GQeFIDA7NhQRggoGhhxAPiS+jRc8OZWNfxU4NA7NfxMzMgvTDy8ut80NLSwE
345
+ 0wkrKQLTBQMBAI2BADs=
346
+ EOS
347
+
348
+ DEBUG_QUIT_GIF=<<EOS
349
+ R0lGODlhEAAQAOeUAB9ZHCJhH0RQJiNmIDtZJSRpISZsIidtIidwIyN0IChz
350
+ JCtzJi5xKip3Jm5XHCp5Ji55KnJaHC57Ki1+KqtIBrtCBC6DKbxCBLlEA7hF
351
+ A7lFAy6FKi2JKVhxOzCJKzOHLy2PKDKMLb1NBDGNLLFRErZXGTqSNVCGOjeV
352
+ MmZ/Rj6XODicMzieMzqdMzihMzmhNDmkNDmlNDqmNDqnNT6lODqoNT+lOchk
353
+ JTuqNj6pODyrNt1kATysNj2sODytN1SeTVOfS2CaRj2vN1yfQj2xOMtrLXiM
354
+ X1SiTD6xOOFoA2KcR12gRD6yOF2hQWOdRz6zOF2jQkusRWeeTVemTj+3OkC3
355
+ OmqhTVmpUs1zOGKkV6KGSlmqUWqiTlatUKOHSm2jUW+haO52AHOia+p5BXCq
356
+ TeZ3IXOoV/h2AGitYnKsTl+3T3OtT/93Ee9/GHayYHuyWP9/GH60XIKvbIC1
357
+ XoSwcIK1d+uKQP+GIYS5YoyzeYy0eH7Ccoy8bZG+d/+STZa/g47DhZm+hpW/
358
+ jpm+iZjBhZ3AlPSdY/WocqXMjarIl6nLmqjOkKzPlK3Unva1jfe1jbjXpMLj
359
+ r/7Nrv7WuP//////////////////////////////////////////////////
360
+ ////////////////////////////////////////////////////////////
361
+ ////////////////////////////////////////////////////////////
362
+ ////////////////////////////////////////////////////////////
363
+ ////////////////////////////////////////////////////////////
364
+ ////////////////////////////////////////////////////////////
365
+ ////////////////////////////////////////////////////////////
366
+ /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
367
+ CgD/ACwAAAAAEAAQAAAI0wD/CRz4j8pAHgQTCmQiRKAMhf+qDPSBI8WJFh0U
368
+ PiHyr0eNGC/2RDICUUeOKI0gAQKhJtEIDxuQDJRhYxGeN25UcMgiQCDFGTS6
369
+ IJqzBsoWRYIsEBgIwwULRnHSNJlCyEygCQ8IrrjCh8yQI3++OBnUQAECAwJR
370
+ oOmzBIgeLkq8lKiQgaCJEHV+5LESRAuWR5MOFSH4IUEhOVLokHDkh80dOxgI
371
+ SoAARgyDCpIoaW5zIeGCAwUGUDAE50yYJBog/gsQ4UaZMTtEqBYIwIGGC6n/
372
+ BQQAOw==
373
+ EOS
374
+
375
+ DEBUG_RESUME_GIF=<<EOS
376
+ R0lGODlhEAAQAMZ7ABdEFBdFFRhFFRhGFhpJFxxLGSNWHyVYISleJCxiJy1j
377
+ KC9nKjBoKjptNjZyMDN2LjR3LjV4Lzd7MTx5Nj16Njp+NDuANUCFOUt9R0t+
378
+ SEGGOkOGO0OKPE+CS0SLPUyOQ0mRQVOLTlaKUlSOTU2WRVqOVFCaSFKZSVGc
379
+ SVObSlKdSliZUVmaU1SfS1mdUFWgTFudVV2cVWKYXVueU1eiTlejTl6fV1ik
380
+ T1mlUGecY1+nVWCmWFypU12pUl2qVF+rVGCtVWeoX2KvVmmqY2OwV2qtYWSy
381
+ WGutY2W0WWa1Wm6vZXOxbHe0cXuzc3u4c4G2eYO2fIS3fYS8e4u+hYfEgIrG
382
+ g4vHhI3Iho/Jh5HJiZDKiZLKjpLLi5TLjJTLkJXMjpbMkZbNjpnLkZfMkpjM
383
+ k5nOlJnPkJvOlp3PmJ7PmKPPmqLSnKfToKbUoKjUoq/Xp7HaqrTcrbrfs7zg
384
+ tL7htr7ht7/it8DiuMDjucHjucLjuv///////////////////yH+FUNyZWF0
385
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHp4B/goOEhYaG
386
+ NIeKKCSDSY9GQj9/PjgvO05BHIJJenl3dWJKRVlmX1xYNhV/SHh2dHNyai5h
387
+ XVpXVSwRf0RAPTpSb3ExSzMaMCsQhidNcE8bgg4PhyYfbG4UggwJgzw3LSlH
388
+ MVBtUSELJSIGgjWnWFZMI2tpaGdkYB0Ffyq3VVRDE2RMKTPGy5YMA/6QAOHh
389
+ ggUJfxQgONAgBwYBigoRCJCxEICOHQMBADs=
390
+ EOS
391
+
392
+ DEBUG_STEP_INTO_GIF=<<EOS
393
+ R0lGODlhEAAQALP/AP///8DAwP8AAMzMzMwAAJmZmWZmmWYAAAAAZgAAAAAA
394
+ AAAAAAAAAAAAAAAAAAAAACH5BAEAAAEALAAAAAAQABAAQARMMEhCwrgYF8nt
395
+ AWAIIJwgDUJYrGMnGaJISmYAq+xME0L/9Skd5xZruY7IQ4UY09UGRZCOsEGl
396
+ mkfUB+uC4grGDnMFFr4Q6HQayQ5EAAA7fL6JAAA7
397
+ EOS
398
+
399
+ DEBUG_STEP_NEXT_GIF=<<EOS
400
+ R0lGODlhEAAQAPMAAP///wDMAACZAABmAAD/AIAAAP8AAAAAAAAAAAAAAAAA
401
+ AAAAAAAAAAAAAAAAAAAAACH5BAEAAAAALAAAAAAQABAAAAQxEMgZxJg4A1K1
402
+ 54KngWJGltNZBmxloe2LAtU1S/atT4VR3D+D4TATComong+JOjg9EQA7
403
+ EOS
404
+
405
+ DEBUG_STEP_OUT_GIF=<<EOS
406
+ R0lGODlhEAAQALP/AP///8DAwP8AAMzMzJmZmWZmmQAA/wAAzAAAZgAAAAAA
407
+ AAAAAAAAAAAAAAAAAAAAACH5BAEAAAEALAAAAAAQABAAQARMMMh5Tig4j8lN
408
+ GEBIEBsneaBITok3FWFcmh6szl0QC/xseASLLUHEmY7IQ+ISCxlPzOYz4Gk6
409
+ kVUrzlXTSlo/SxQwKHOWL4QaYUa6IwA7nEkPRAAAOwA7
410
+ EOS
411
+
412
+ DEBUGLAST_GIF=<<EOS
413
+ R0lGODlhEAAQANUAAEN1h0pteFl+iktvekp3gkVpcl6Qm7jFyGyWnVmMlB5O
414
+ U1CDhjx5ehlEQzt3c0+Ad153bF51aoGSip3GsZzHr5fFq6DJsV5yZXyQg5Wm
415
+ m2+BdZqpn56ooZWcl3iOfWZ3aaCooZPBmLu/u7e7t8XHxZrDmMPHwpe/f3ur
416
+ T6PEf7TSidPltef00NXmtfjtsfjlmfj16PDVgfjdifDdqf7676iFLfjOYvjV
417
+ evjVgbGBHI6Ni7F7F6JtEptnEqp0F////yH5BAEAAD8ALAAAAAAQABAAAAZy
418
+ wJ9wSCwSR0KS0dgRapZDTOSRKAygREPKUiHoPCajBBSYsFYlAfbXoLROKgdE
419
+ BP0AQioU4sdZbn4HCgwLNUI5OVAXPzk0iDkwiEUZhjAuhzAzkUY5Mi6enjE7
420
+ UD43L6YvNz5YPKw4NjxrQj02PbGytUJBADs=
421
+ EOS
422
+
423
+ DOCUMENT_OPEN_GIF=<<EOS
424
+ R0lGODlhEAAPALMAAMDAwAAAhP///wAAAAAAAAAAAAAAAAAAAAAAAAAAAP//
425
+ QICAAAAAAAAAAAAAAAAAACH5BAEAAAAALAAAAAAQAA8AQARFEMg5l10UBMHv
426
+ UoonBWRwgeA1ciwXZNkXyla4dmluay37wsAgxUMDWGI0HYbXqaFUvMHg46wt
427
+ N9KsiILNDgS/TGlMykQAADs=
428
+ EOS
429
+
430
+ DOCUMENT_GIF=<<EOS
431
+ R0lGODlhEAAQAPcAAAAAAAAA/8bGxv//////////////////////////////
432
+ ////////////////////////////////////////////////////////////
433
+ ////////////////////////////////////////////////////////////
434
+ ////////////////////////////////////////////////////////////
435
+ ////////////////////////////////////////////////////////////
436
+ ////////////////////////////////////////////////////////////
437
+ ////////////////////////////////////////////////////////////
438
+ ////////////////////////////////////////////////////////////
439
+ ////////////////////////////////////////////////////////////
440
+ ////////////////////////////////////////////////////////////
441
+ ////////////////////////////////////////////////////////////
442
+ ////////////////////////////////////////////////////////////
443
+ ////////////////////////////////////////////////////////////
444
+ ////////////////////////////////////////////////////////////
445
+ ////////////////////////////////////////////////////////////
446
+ ////////////////////////////////////////////////////////////
447
+ ////////////////////////////////////////////////////////////
448
+ /////////////////////yH5BAEAAAMALAAAAAAQABAAQAhUAAcIHEiw4EAA
449
+ BBIqXAiAIMKFCQFIbHgQIkSKAh9exFjRokKOGj2CnEiSpMOSEw0OCPlRAMeV
450
+ CQPIDMDQYcyZMiPaJIAzJwGQN3Hq7Ojxp8qjAwMCADs=
451
+ EOS
452
+
453
+ EXIT_GIF=<<EOS
454
+ R0lGODlhFAAUAOelAOlIDulLE+ldLPGUcvaxmPjKuvvk3OA5BOA6BeE+COhD
455
+ CuBCDeFDD+BHFeBIGOBLHOZSI+hWJOZYLOhcLehjN+6HZfCNa++Pb/GScfjH
456
+ tvvj2/vo4vzv69cyAcAsAr4rAsEuBb4vB8AyCsEzC8A1DcI4Er03E8A8GN9M
457
+ H+RQJN9PJN5OJeJOJtxRKdxQKuRVLNtRLOdmQOZpRuyDZO6Pcu2XgPW/sPbI
458
+ u/vm4LYmALUmANQ4EbUwD95BGbg2Frk5F7s5GLo5GdlEH7g5Grc5Gr8/Hd9I
459
+ Irw+HthHI79BIb5CI71CI+JSLNpNKuFQLOFRLNxRLdtRLuJUMOFTMNtSL+JU
460
+ MdxTMNpRL+JYNeNZN+NaOONbOuNcOuNdO+RfP+NgP+RiQeRhQuRjQ+VkROVk
461
+ ReVlRuVnSOVoSOZqSeZpSuVoS+VpS+ZsTuZtTuZsT+ZtUOdvU+dwVOdzVuh1
462
+ Wuh2W+h4Xeh5Xul8Yuh9Y+l+ZeqBZ+mDauuGbOqFa+mDa+qFbOuIb+uJcOyK
463
+ cuyNduyOd+yQeeyReu2Ufu6Yg+6die+gjO6ei++hjdyWhdGQf/Cold6bivGp
464
+ l/Col/GunfKyovK1pvS9sPS/svXGu/zt6eRlSOVnTOZsUOZsUeqGcO2TgO2V
465
+ gfS9sfXCt/3x7/zw7v//////////////////////////////////////////
466
+ ////////////////////////////////////////////////////////////
467
+ ////////////////////////////////////////////////////////////
468
+ ////////////////////////////////////////////////////////////
469
+ ////////////////////////////////////////////////////////////
470
+ ////////////////////////////////////////////////////////////
471
+ /////////////////////yH5BAEAAP8ALAIAAgAQABAAAAjwAP8JHEiwoMBL
472
+ g/TQieMmzZoyX7pUQQJJIKFJigoF2pPnTh05bMBQCSKwD6MagCSREpgpERgu
473
+ Vo4ItGMoUKV/oTz5sfTv0RQqSwTC+RPp36dNYbZI4fNPEBQlAt3gGSWqkyaB
474
+ TFjYwOEiiUAyc/6B4mRG4IsUM/6tKCLQCxyxb84IlAChwj8UJwRiUcMBUxs0
475
+ AidEyKDBQQmBT8Is+odIRgwKAgb8s8BghEAjWsRQ+neDxoUC/wgASABCYA8n
476
+ WcYc2iDQAIYACg58ELhDSJMrUWC0UPGgwQIEHXQIbMTDxxAiQH6YICEihIcc
477
+ jgxKJxgQADs=
478
+ EOS
479
+
480
+ GARROW_GIF=<<EOS
481
+ R0lGODlhFAAUAKU3AA+MDwCZABmRGRecFwKrAiOVIxCjECGZIQeuBxqlGhSr
482
+ FBmvGQHBASKrIiGyISmvKQDMACq3KjC2MCm+KQrVCiPGIza5NifEJxDUEB/M
483
+ HxnSGTq+OgzfDEK9Qh7ZHhLjEhjhGEvASyLgIk/BTyzbLFHEUSHmIUfMR0HR
484
+ QSnlKVrFWl/HX2bMZnLOcnrQeoTUhIzXjJPZk6PVo6Heoabmprfmt9jx2P//
485
+ /////////////////////////////////yH5BAEUAD8ALAAAAAAUABQAAAas
486
+ wJ9wSCwaj8ikEmlrtValaCnU2UgaMqNrFns5WayVatSRCIytGMzVYtVu06qk
487
+ YGS92CzVbW+R+OlFJV9QIXs3EYiARCVgeWQbhhMRB0YdK4aYkZRFHSWZmRUJ
488
+ RhYhUiUnKIYeHgpGER0hsSEnhiYgGAhGDhYdvR2GKSYfFLlFCxEWG8o0NyQi
489
+ IBwQBEYGDQ/XiBcZGhgUDAFGMgAAAuUDAwYGCAQBWUvv8PHvQQA7
490
+ EOS
491
+
492
+ LEFT_SIDE_GIF=<<EOS
493
+ R0lGODlhEAAQAMZmACBeHSVlIixtJzp+NDx/N1FxqVFyqVNzqVNzqlR1q1R1
494
+ rFZ2rVd5rlh6sFh7sVp8slSVTVx+tFx+tV6Bt1+DuV6XWWCFumGFu12aV1Wh
495
+ TWOHvVugU2SIvl+hWGaLwGWhX2iOw2OkW16pVGSmXV6rVG+QwWySyGKsWGap
496
+ X2unZGyUyW6Uym+Wy2+XzHCZznKaz3qaxHOc0XOd0nWe1HG0aXag1XS2a3G5
497
+ aXmi2Hmj2XW7bHqk2nqk23qm3Hun3H68doWs3YPGe42x3Y7Ih6S8o5K56JLL
498
+ i6a/pZbMjqzEqqvFqa7JrLXPsrXSsrnWtbzauL/quuTr4+Ts5OXs5ebu5efv
499
+ 59f80tj90+nx6Ony6Orz6dr/1dv/1dv/1tz/193/2N7/2d//2vr7+vr8+vv8
500
+ +/v9+///////////////////////////////////////////////////////
501
+ /////////////////////////////////////////////////yH+FUNyZWF0
502
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHsoB/goOEhYJC
503
+ Pj07ODUzMi8uLCowgkBFl5iZmCV/Pp6foKAefzxbXF1eYCRPWmVmr2Yafzmm
504
+ V0FgGU5ZrrAWfzhbWzciUCFNWGSwZhN/NVs6Nj8bKUxVY8oSfzMnNEhGQx9L
505
+ VMmwD38xWygjHQMYSlLkrw1/LcEQBGECSVNiygp/K4JZqRAmwJEo8cwg+GMi
506
+ WJcvYQAQQajMwJ8CIDxwuEAhwgMHDBYkOFCggKGTKFMOCgQAOw==
507
+ EOS
508
+
509
+ LOGO_RUBY_CENTRATO_GIF=<<EOS
510
+ R0lGODlhSwF3AOf/AHMAAXgBAHAFCH4AAoMCAHoGCIkAAowAAHUMDY4DAJQA
511
+ AX4LBIYHCYcJAn8MDZkCAIkLAJ8AAJEIAaEAAqMAAJsFAJIKCZsGB6QCBIwN
512
+ DasAAJQMAKUEAK0AApsHDpQNA6YGAKUFDZ4MAbYAALAEALgAAIEYF7cAB7oA
513
+ AbYAD7oACagLAJcSDZ8PCrEGDMIAAKgMCLIIBMIABbMJAKgMD6AREsYCALsF
514
+ E70IA84AAMYDCM4AA74JALUPB5waEa0UC74MDaIYGtMFANwAA6caF98CALcU
515
+ Eq8YFNMHEckMFLgWGsMUB8sQDbEbHKEkJKshGsQWEakiIOALEb0cFsYaCsUZ
516
+ GdgSDJgtK84XELciGr8gH481NNAaGawqKsgeItMdE7IrJtwaGLspJLYsKJ03
517
+ NtYhFdIjIt4fIbkwMMAtLdAmKNgkHpw9PbU0Nbk3MNwoJ8sxLbY8Mr82OppI
518
+ RtwrLsM5Nt4uNr0+O709QdszKdozLrhCQs46NtQ4Odg6L9c6Nd44OMVER9I/
519
+ QM1EP8NKQtw/Oc5ERcJKR7dOULpQTMBNTdpFOcxKRuBDQ6xbWdxIQbpZWNlN
520
+ R9NQUdlOTuBMSsVaWtBXUtdUT8lcUd9TTLZkYq1qa8xfVNNcW9hcWOBbVclk
521
+ ZM9mXt5gVupbW9hkZ91kY+FkX+ZiYORoaMB4d9tta8h1dOFraNVxbLmAf+Ny
522
+ bNl4ce9vbvlrbOR1dN94dP9radx9fOR9ftuCfdeEg9GHhO59fOmAfM+NjOOH
523
+ fcmRkv57eemIheWLhuaNjtiUkeSRj/2Hh+GVkeuWld+cmtqmpeqfn+aioOKm
524
+ qP6bmOqmnuWopNiuruynpuKtrOStp/Crqv+mpe+wrOm0tOy1r++ztee5tty+
525
+ vfC6ud/IxfPCuf+8u/bAv+vFxvy+vvLDwNDSz/LFyPHJxOvMy/LMzf/Nztze
526
+ 2/TV1PPc2fva2e3g4PPe4efp5vri3/fj5fXo6P7p6/rt7fTw7v7x8fX38/r1
527
+ 8/349vr8+f/7+v7//MMtLSH5BAEUAP8ALAAAAAA6AXcAAAj+AP0JHEiwoMGD
528
+ CBMqXMiwocOHECNKnEixosWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuX
529
+ MGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGjSJMqXcq0qdOnUKNKnUq1qtWr
530
+ WLNq3cq1q9evYMOKHUu2rNmzLvsJ3Ie2rdZ9bO3Ns9cuHbtz5sxhM5dOHDt5
531
+ 8vzpY+u2cFK2885hu5arFShFd+S0eUJjzJMjYMagcZMmVKth0LAB9qfWZ5rT
532
+ qFOrXm0Yqzx0zFYhelKjxYUIFSZUEDEBQ24OGCZ0cKEBAwcSLn6k6dNp2LXR
533
+ pW+unk4ddeuoiLERQ9SlRgQRvDH+gOAwgQOIFRxWdDBPosMK9Ro0dJDfgcSJ
534
+ F3D+3JI2F2f1/6xdx5Q+9oiTCyRBSKDAgg+IcN54EIIAggbprTdDfSuQoEF7
535
+ F84wQwkloLBDDnpMggw5pNEE4IqpCXhYO9toQoYFBBiQwIITPHCBeRCa514H
536
+ QGYIgoYkzLAhCSMUWQIPL9jwQg477MAFJcO4g49MLGaZhotHwYNNJVdkQEAC
537
+ DyjwQI4RPICBgytISAIHQZJAJJJyXjhCfUh+yIMMTdogRA5CrHHKN/bApKWW
538
+ XA51Tzq2iNGCAWMecOMDlFLq4JDvAUlChpom6eEIMxQ5wwigfrhkk0LYAKgQ
539
+ QwByTT3+/uCjD0uHZploUPNgI0kWLkwgwY1kmklpBBykl2mmF2Z4Z50djCqq
540
+ hzyMwEMJL7zAQw6rClFEEW+EY49d9KhUK6K39iRPM5N4cQMNHyRgwAHwLhjB
541
+ BOWZx8EMx8l5JKildpAkkiOACOq0L8hwraqrbivFKO2ccww4gZ007qHl7nTP
542
+ M578oYYWSmDwALwHKBCByLlROF6bRG6ocpFFJlnfhwHPQLANPCA8orbbnhEO
543
+ OsXMsgw7hJE0MbkV36TPNrZcMggcWhxxQQIhLyjyA8VysOF7bxJZH6l3gurv
544
+ qCWMCrYNS9pgNrYJFyHFLe4Uc4spwZhz5UhDtyhQdUXTNFj+P+gcE4okhsDh
545
+ hdMSvGsAgw/M+6Z6QmqoXn3Nhqpk2CVIy8OH1tZMBdppFyELPMXQYkomr3QT
546
+ cUgs3j1dQdTlHdM+7nRDDj3dNFaKIRsf0YICBEgdQZocEGss1key7OHLdYZd
547
+ 6sA81JwDwp2/wg4ys5QSSSGUVHP6RysSFKD3rbveEj3bBPOKNO0sY0srpATu
548
+ hRIhfBy1sFVfTbyGzn5qPMxLBtx886pyHraGoDBf2IMZqjDFJQqxBj0ggx2o
549
+ +49BVHOQ8IlPJfK4Bioi8QlotCMZxbAFKS7BNN0pIF6/S2F8KCSnrA0JSP0C
550
+ 1bOWpycAXut52CoCAd8AjntIgxX+o2sEHdbABV+cg3sSnKDdlEjBC6aEHtWI
551
+ xCPo0Ah2wCMZw2hFJyaxMfiV6XDC+h153lQsrL0MSMfLX7QuJ60l1ex/nAPU
552
+ tozhDn9oA4iZKMQZ1rAGKMyCHLPiCIAQskQmFtKJI7FHNRpxhjMUYRbw+GAI
553
+ VSEJPgwuBBEAY5oSV7UyYm1TaPSQ5C40w+U1r0kCzMEQCDiKcPDDH9vwxCUy
554
+ AYghfoEKOrBEMwqlke5V8JADWR0iSWIPaUSCClDYQR6SsY/0DYMWoKikGo5A
555
+ gwkY4HCJU2GxNtUm+0nOX0ki1QwFVkMA4jAHRcheisTRBCI8QQtaqAIXqIAF
556
+ KgwiGdv+s8ggCQnMYH5vmB/pxzIMgUwq2LMd/KDHNHAhwk4IAp40WFDIyiQy
557
+ YsUnX+hpYXxkyLJniXJgIAIg9IaQiWq88pXo6IIPWrBSIrTgCDA4wQzScIx2
558
+ ZCSJ/LROQv4JUI7wgxmMwAEVmLCEKQzDHmrpGyxI4dASXuAAh4tamurlyQyl
559
+ bEP6q5Mo0+jG5qFtB6foRj/4sQ+1yAMSHgiBbWzDAhZ44AMhIIIu4HGRfeZU
560
+ p3c9TU89Qo2g4uAEP3BBE6hBGPLZohOA2xgNQgCvw/2uUlQzGXrc87g7zUdy
561
+ +/NoV7G1iFm0gx7pQMc9+NEPfazCB4sVwQUu4IELfMADCrj+gA+SMTeK4DSv
562
+ W9ppE/eKkX7YoxmE6EEPfkADGngAEdwgDWnJpwpG8CF3IYCajQyQOErVS0IZ
563
+ 1VfxNMC1UHVIq9CiGRRIgY19SMNtyAgHPe6hj15EYbGtncAFbOMB2IpgD+jQ
564
+ J94UwlPW7Za3FrlHM9zggg4sVgksPUQ75EEPfYyVHuaghSC4UIUftABqCsBm
565
+ NqlmnvcUSwNDWuFx4kMkq7ZQVCUAgiqkQY9soCITjzAFLYpxjXSQrwstKG4L
566
+ bLNa1rY2CKuYR0Vu+8t++tPIAH4IPraBBhiEYLEwWGwGVKGNbpyjHfbQBz/s
567
+ AQ+MVcEIHMhwvIRVXRF0eDwUumj+ezYEJwytID7rccEU4GCLa7CjHaoAhBDO
568
+ UIhMiOIVwliGOLjhhBYEdsc9nm9rL9AFcQzZgrpFsj/6m2SIwKMSNIABcYs7
569
+ AQtEQRXFOIY0sHEOdjSYtOlIRifQ4AECNNZ3nDwzGa2GnuNgFE5pVkIdOiEN
570
+ c7wDHbboAxJ2UIYGNiITnmAFLURNCB0XNwSJXu0DnPCLQEpkv5GWNKUr3ZB9
571
+ rCIKIQiBpqMcAg+g4RW7uIUwkCENbfjl1PmAkS4Q5IRrHqB3k6pAsczcSQrp
572
+ m1jzosERsmAJWzTjs/qAhzLucIMS6CAJXODCF9bgh0dk4hSvQMYhalDc4sJg
573
+ Tav1gAX+LHAAR9zj0ds+srb/y22H8KMZbXBBjp+9Yx+k4RSmYMUsbDGMYyxD
574
+ G+ZwB1L3kQ9+wAMd0eiFbPYQhCDU4AEh4MADbKOAEGBA3DBoQheOcIdOgBob
575
+ 6UDoWOdhDkykYQQ9EKpBkTDxN+ThEY/4xC4GgVritkCt4f6VBRpAADawIzoR
576
+ wbYhV47XljukH+KoBAeeTFwns5YFfPjEJUSR81nwQhjFkEY2yIFwf5DV8/aw
577
+ BzvQIY5mJKMXuiAGLnKhi9Q3AxrUIEc60gGPdwxmH6Ttxz7QkYsx/OA4H8LB
578
+ EgqKBS6EIQ9/EIQpGMFxDMDgpTsOgQUUkAEGGMAE0dCvMAf+b+SUG/4g+kgG
579
+ vTKd6dVSvwl+KMQjKPEJyrPiFbzABTKYoQ1y1N7B/rCHPPiBj3m8gx7w4A5H
580
+ 1w7ocA70QA7dkBfkYA6lJoDrhXv88A6QQAQacAL+0iwgggNAYFDFxwVr8AaN
581
+ 8AhE8GyLtVjQZgAeUH0OoAzat30qt20s930LcQ+J0AJOtlgXYAGw5QFaoAd6
582
+ UAiLQAmZ8AmeUAqqMAu3MAzFsAzVYA7tMA/boAuVgFiSEAl/EAmNoAZwpwd5
583
+ AAjqJwiTwArvJwzH0IQItw/T0AblMQIaEDYn0ANLAgQbSAUQxwVnYAd8QBkw
584
+ sIct8AE+UF8WYAAO4ADYFzQTUTf+/+R9MmgQaYgBNLBjCuABEmABGcACUHAG
585
+ b/AGdOAHi7B+F9cJr8AKthBo0LAMq9AELNABOoADPBAlNkBsVhCLYUBxcNd+
586
+ lRcMS6gNBvgOoBBZWFUqpqKBajdPx2cEOeZ4ImcBDDByDFAAJsANr4RyiGhX
587
+ i7gQukcMNYABLQCI0+cBDEAEHuiBZkAHevAHcJcJmWAKpnCEt0AKdfADOcIB
588
+ OkAFQAAFTEBPEcdHH/gGXmhxn2AKRkgL69ZrydAFxaJCSFICchI2OKCBSwAF
589
+ UPAFZjAFNFAbfThyDcAAGukABbAF3hCN0mgr1kGN1agQW7YK5cYCElA4IpcB
590
+ GUADa4D+BRNXBmGAieWofuxnCpIwCCvgAsBBLx2AA6tITwZ1S8XGR2/wg+dI
591
+ ea9AC7aADMiACDuiARNgJhFAHwAzAydwAg1ZUGtwAzkGiC7JAIOIAA6AAFvw
592
+ DjdFLjpFZCWJEPzAD5CgACNnATloAJRoAT0ABfj4BR54BmHAj38QgnAwBR83
593
+ HxRyJjNABQ05j8gUkRP3BY30Bn5gjkL4j6xwC6xABPWiASNzJvSBJ2EzAyrg
594
+ kFgABKvVVtXHAAtgAgWAAK/pCuGylqkzaXplm5D2lgjRD/fgCAngkiNnAKv5
595
+ AXw5T1QQcRK3BnukB1UwBStgHBNCIboxAStQAkmgA0vAA8n+NAXGCQUR9wVJ
596
+ aY6PcAn/GAtjMAEXFTxSx0nghGIZiANQgAMKIAEs4JIZMIgAgAAIIABbEA0g
597
+ SZtJ1JaCh5u6ORC+BQnXNAALoJEDwAAmgAFJgANJYFAU+gUT10c98Gb1Q5Uc
598
+ oG9ryAMNCQQ28JARRwV++QU1uQaAUHHsJwhHgJ6eGTyblCbzEk4xMDkzsIrW
599
+ RImDaAL6uZ8IsAn/2Uv7JKCQFoPVyA+pQACruQANWgAGAAMNKZQU6p0GhQMw
600
+ 8JxWQyHkYTX0QjXBF6LCN3zI9J1YMJlAWAhaEGvxUR6UMjWgGTklEEon8AAD
601
+ ACkZ+aP6uQXoAHgbUaS3eVsuuIj+Y/ULg+gArLkAZ5kBU7oEOvCofAkFQBAD
602
+ IIAB0rlCs0YvulGd0JJ2wnePBhWR+QiePhiPnome6PlYkPUAG9IDHgIiIBIB
603
+ BDAAtGoAsKmfJuAKagkSARqogpebMsg3bPCkBfCaAuABQIADJXACJeCQKnAC
604
+ wGE1W8qlXPphlAInM4ADMjOlOACqRXmcNUkHNxA8GpBNMkqVKbRhHEAqMxAD
605
+ ojQBAzCrARAAA/CaQcoNfqpf/iVMRuqCA2p4cdkPmwAAxVqssCkCOACHHtKQ
606
+ M6ChaVYeV5lmF1UvuCGnJeCpcggEoCqqEwcFHXAmvzMBKVQeniky8nJCrJpG
607
+ bDiv9Er+q/U6B/5JpIU3jYWHm4oIYPowDVuQn8UqAAzQAaMJIj3QAeh5kFwa
608
+ sRBbrV9KKc3SAXAIq1OKnRX6BT0wNao6MlcpsjQ6MgtyI9zFNRrgsgMQAAAA
609
+ AGTwC3GJEf5Ks7n1gpIGYHHJDptgAgLwoyxQOTPgquQBAvSinlvapZgqYp5p
610
+ Xf7SHpTTkM0qfBAJkRhwAWVSXXA6L78jL2kiUQpgNaOiAQQwr2MbAFewCmmr
611
+ tonItvt6s7zVD+3wC1uwn3U7AWGjIdLKpalarVf5OyQ2seRxrV8TA1Aboslq
612
+ UERbXVj7mcNSlZNrJmI2JgqwIS9wAAGwuQVABrpwD/lqW6P+S7PgA6wtF5f0
613
+ oAyJ4KM+C7Tz0aVGazW2qwEUMK0rxGbruUnr2gHuKqfT0pg9EDwmS1EpNKMn
614
+ KzVQBS+9EwEjoAACAAAMQAbJIGQyG4NGqjq+xMCDCrD8oA/okApX8JoNMKcy
615
+ ymHCY7v5m0JbqiEXpaoH8ACRg4GumoEyAATlmjhWebyIIzUnlGHvAjLXZAAj
616
+ EwAMEAfYQA/Va72DusAEGsQzW0htS6gByw0UXAAXEB80SiFiRCypGgEgfFGe
617
+ ScVL+0Xr6jUkELTN2gEKcCMwHMMnFDKGYzggA1WQQgBqbDhtMA30MKQtyFNA
618
+ PMe3abNFXKACoRYSnAtOYK5tWsX+Imu06Zq/VYyuVgs1CcBdW2xZF8K7VWm5
619
+ CzLDMzzGNHxNNXJvalwjCYABeDAN1CtIguqruUXHo/y2JflK90AOsZAGt2Em
620
+ 5MrBWksBEUuVWuvBlcu1UEUAVylK7unFM/xqlNy/jZXGNZLJNTzCE6AI09DD
621
+ cbx9pMzA0IykeKxc/UB2txAITYBJNFoeIuuZVynLALdJlZK//AtVAwDAeHIh
622
+ zfIAUHPG7vzLmFzMmVzMhxMfhzANcEyk2fu2QPyC0wyXr+Rb6TAMliAGIWC1
623
+ 8yKjMrq1WFspvjMyNDwAB/C1/BIqGRbMNNy/8gwpHJ3JExU8igAN4iLNDkHS
624
+ /zwQZGX+D8vQCYbQBC2cv7LszTAMRmRWzvcGKQ9gWS30AGU8zJY8z2sM1O9y
625
+ vhywB8pAWiNtynl10gyhFv3QD9hACpKABhagIB3MtZskUdcUyWTcWPMMAOsq
626
+ J2xYwzXy02lM1kANL/lLrncwDVpGK6brtkzdEAHbD+RQCpbgBh7QzvICuSMD
627
+ z/YmzD49thNNKuUK1Iid2K4mMoDMpW38xi6hvdw3103tecrlDrPQCYdABB/g
628
+ au9yQhB9xhwNKf1LzGpMqwEQAf7CATet2PNsxhFAASRAARRAxSEQB9HAwzHx
629
+ wKVbx5RN1089D7xgCpYABh8AARDgao01zEFNz4gNKS7LXbz+87xADQBl/csb
630
+ MMK0LcvgDBxtgA31wMxJjWRxXY1PzRBx+Ur6MAylcApg4AMHAAEJAAH+S98Q
631
+ /S7yvLkAsN8sO7YAYMNpHK83DTIbUOBjvAGMjb60fZUh0AW5LR39Vd5JitSH
632
+ 5w/LYIRx4AMbgNzyHS/ZpAFmEi+5LNSkLT/zsyAFnuIJkOJmMgHcvUIY0Na8
633
+ 5B/8qtR4nHt0LRD8oA230AqE8ATtwuH3dgAWNa21jb6xjeRWQwEiO8IFfgAG
634
+ juBRM8Lwcia0jeTzsgfL3BMN/NsFEbo5zg/p8Ay4gAlu0C7zTd/0zdhQ/Du1
635
+ XdtJruCeyeIKkN12nuJPvgHf7Jn+tc0Bd9AM+UzjvO3lEkHhvkUOx8AJcZAg
636
+ ELDh9B0yV6m+2+3NTPw72Q3lUJ7iD6DiG0AmG0LbILwHySDeEC7hhJ4QSB2X
637
+ zQQNnHAIaCABjR7fyG27C/3mK3SVsLviLF7gCYDIur4B6Dvb3KUBeADoQyHZ
638
+ p/4Q0ThWZDUP2dAKmBAHx43IVY4ktyvbJDatJLDpCJ7dN1Lgm/4A8n2VQLJC
639
+ Mk4U/5rsExHQ/vAO2wALmEAILdDoHK7nKnO73IWYGvKxUIPn4A4B7OzpF5gC
640
+ LnAHuU3hQeGW6j4R5y0P4oALoVDcx+2/RA4kFtimLuACJ+ACKTAcm04m7Nzp
641
+ AL/iZIL+ASlw8h2gBHLQDfYA5kDRRKa+8F/ueRH8DsQQCqEg7RwOASJD8Ci/
642
+ lRtv8eMqKb+yAZXy6yKwlSlwAkrAB9sA2UJxvTUr8xBRGmPlD9DgGXHQArCu
643
+ xpucAkbgAj1A8B1wAypgBD1wAyDQ6Ea/4hLA6xBwAS5g9ilQBYbQDPhA6oI+
644
+ 9TFP9QUxVvnw7LBwCECeAGpsATFl9kCgAkDQA0YABDfQAy7Q6ZSP542+Aj0A
645
+ +TdgCdig93vv2/sM+vvq9weRtqTlMMKACWAw7wnQABKwAnK4lzeg+VWw+BKQ
646
+ 6b/C7RCAATcAkTfQCVuO7oTXfVNP+gTBD/LQDYl+B2CQ3A3+8AFGMAVJ0ANV
647
+ AAVTkPY3MAUpLgEPsJK9/gA/AAVVUAWWIA2eX+rF39s1a9Kkr+rt8AyhcAhx
648
+ IAF8lwA/MP7VX/3SnwQiQCZFv+EAIcFFFYKdoPlDmFDhQoYNHT6EGFFhGooU
649
+ JSKsmFGjxosdPX4EGVLkSJIk+9W7ZurSHQ8NIChQAuWGGYJJoFSBkUCChA0S
650
+ dLaAQlPSuH0ljXa02JCjx41Nkx6FGlXqVKoS+fW7io+cLFGEiFiAIOEGlyRc
651
+ zJgxaySBzg09LxiBAkgSNntVq2acuPSj0412/f4FHNhhv4T9+rkDdkoUGBYN
652
+ Phg5C+UmFyMbIGx40BODGT2Xwtn+IyzYKF+8I0k/FZ1a9eqRhPnhc2bs1Bgi
653
+ BFoYeZPbzJoqG3SubVFFzSRz9vixNn16NF/kzZ0/V3jcMD911mrxedKgBZCz
654
+ b85eaOD7gxJAk87dCw2dKfOj7NW/hy+YH79544xNGuMjhHA7dNS0aEAnGOw4
655
+ pZvj4luvKaicQrBBB6Uy7CR1jBmljyBC8MIMO+yggYANJuACFWyuevAi95JT
656
+ sEQVVwSpH3zUcUaWSMagoQo66PgBAgu0QGUcFk1MkSQGfySyyMHcsQaYTwZ5
657
+ wo9G0jDgCFUMNDKivpYrrUoti5yvnnGAkUUSMfoQwwdQyElvS4aubA81Nd9c
658
+ kTpjdhnO5ZE+LDkHn+bSnCpLOP8EtKP55KlGmEsueaYu1rDiM1BHH13tqn3E
659
+ OSYYeA6MdD5IN+VUtPn6mcedRkXDqlNTT7WLxObyiWdUVF+FlUisMI21VltV
660
+ 5OceVW/ltVfo+llHV1+HJXa1dcqhtVhltyTM1efeKcfZZaeltlprr8U2W22r
661
+ uuoqw+DjZ513dt22XOe61fS9+cqJJ1lz30WOUWlJjWcdd+HFV7V0f70nn3nz
662
+ BRjVT+8NuOBTu4XoW4MXdtTbhz5lOGI493WIYIkBCwgAOw==
663
+ EOS
664
+
665
+ LOGO_RUBY_GIF=<<EOS
666
+ R0lGODlhSwF3APcAAP/////39/j29f/v7vfv7u/v7//m5f7k4vfl5f/e3vff
667
+ 3/Lh4f/a2PXb2N/f3/fW1v/V1PDU0/TTz/fPzv/MzO/OzfHLx/fHxv/Fw//C
668
+ v+7ExO/EwMzMzPi/vfW8tPG8vO28t/+3tee9vfe1svC1tb+/v+e1tfCzr/+u
669
+ q+izrO+urueurv+mpt+ureerpe6lpeilpf+ZzOaknd2lpK+vr/+Zme+dnead
670
+ nOCdnOealv+Rjd+alu+VleaVlN2VlPeNi8yZmdeUk/CLi+aNjN+PjeaMh8GU
671
+ lNaPjf+ChfiDg9+Kgs+NivCEhJmZmfd/etGKh+aDg/97e96Dg92Eeu99euZ8
672
+ fNWBf/9zc917ePdzc+V5dtd8eo+Pj+51ctB9e+dzct91cL98fO9sbf9mZs9z
673
+ bNZxceZsauhqZN1rZt9qatRtZexkZdBtYtdqan9/f8JubuhiX95kYdZkYcxm
674
+ Zt9hWqpsbN5cWd5aU+VXUNRZWbxgYOBSS8lYTtRTUsBZV8dUSd1LUaVaWtxK
675
+ Q85LS2ZmZtVHRN9DO71KStlCOtBAPb5ERMRCQtg7M+U0PMk9PdQ5OZVHR98x
676
+ MdgxMdsvKrI6OswzM7s2MNsqKk9PT7Y1K6o3N90nHtYpIdApKbQvL9ckLN4h
677
+ IcwnI7MtIt4gG+gdHdYiGbspJtMgILIpKc4gF9ccGLUkH84bG80dEL8gIMIf
678
+ GD8/P8QbG4sqKqwiGcwYD4QpKcYYEKchGc4TD7MaFsUUFNcQBr0WEuANDaYd
679
+ EuAKAMQQB7wREYwdGqAXEDMzM74OCbMQEM4JANYFAK0PD8YJAOAAAMQHB6QQ
680
+ EKYPALsIEbwHB3oZGZsQCrwIAJoQELUICK4JCbYIALQHD5YQCcwAAJUPD44Q
681
+ EKwIAKUJCcICApYOAKYHAL4AAJsICH0QEJwHALYAAIMODpMICJQIAa4AAIwJ
682
+ AIwICKYAAHEODoQICIMJAJkAAHwICB8fH3MICIwAAIMAAHwAAHQAAGYAAA8P
683
+ DwAAAAAAAAAAAAAAAAAAACH5BAUUADEALAAAAABLAXcAAAj/AGMIHEiwoMGD
684
+ CBMqXMiwocOHECNKnEixosWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuX
685
+ MGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGjSJMqXcq0qdOnUKNKnUq1qtWr
686
+ WLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2LcUACBQ8mGDhwocPI07oTaHCBY4d
687
+ O27MaNEggNvDVgVIkFFES5w7ghBdGlUqVStbzIABM9cuXjxUenAgQExaqQAF
688
+ Fzx4uIAAwIIbUBxDZnQJVOVWl61JQzfOs2dNQAyXHg4UwQcoey6p6sW8ERUF
689
+ CHJUAfNYECNQmzaVCiZt27Zx1ML3/65XL1AE4uhzCrgARRAubdqQIVtGn36k
690
+ DgRyaElDZ4+gRpG84o134xRooDTjbFOPPbJokN6DMgkAAhrvwQffMfL98kt9
691
+ pFBAwA1ppHEGHowYI56BKI6DDjrc2MPgeRDGqJIAG+TBjDjiXHgMhhhmuOEy
692
+ ewhAAAxn9GFKNt8V6E2KBa74Djfv4AOJADJWWVIDZTCjjjniKDONMspos+OO
693
+ 8iGj4TK9hAAAAC4Yw00zSy4pzZIprojOO+fIg48RVvb5kQA5uNLOltMU+s2h
694
+ YVooZob02bAmADPMQk0zyTRjqTRwwunNknbiiU8tCzTFQQlNuGGqG7DAQoOf
695
+ QU3AhzqDqv9zjTnXiDMNoonqaOZ8VDwKgAaUTFppMpVmmqmKd+aJDxBNwbLP
696
+ s9A+6warPgmwAy/txKqOrNfUeuuXuYqJIX1m+OqaHpZaYyml7DYT3jncnEMO
697
+ OfQEIpxSHJQ6T7T7TEvtTgrMAWs73WxrjqzmFCrON2CCaSGZ8nVhLgAEPCGs
698
+ N8l4k6k03bFzzjnukJOPLAU81ESqKKesMsqEuFECS85C6++/OFmgSLYFF3yN
699
+ rNza+g24xzgM8TJQACAkAXEtQAARt1y86ZLboHPOO+TI4w490YjwkBv8du31
700
+ s/oQ4kBKJUQ7M801fbBKPASrk3O33Ha78JfgJrpjfC8AYIFeLrj/0EMOI0Ah
701
+ KaUYe7MbNx5b7U7IfD7kAA2EdM1FCZRT7gYx/OrTREpmo22TCsnE003OPO88
702
+ 6+nedln3hdrsYgECUFRRhRZgfIFGHEyYsYrTh79D9dXkuBPGRDTw+7JBNOjD
703
+ 7+Ynde75TDJ0NrrbbtOK8Om01vozol+Kqc0nCJzQBx3k03HHHnsUAgcepqzr
704
+ LjXccDNvyCHXQZHxCHHQ9fElOf88TD7oTDgG6DbTlY5WCaOVzw6FKAuhAQBo
705
+ YIQgJogIRDyCEZKYRCMMEYt1hSde8nKH1eRhv4ngDyFcixYmmiez/8GECNJT
706
+ RzioV7oDzmoaCvTW9hIFggeMYhSSuMQm/2oDiiKqQhWbmAQrvIGOeKCjHu9w
707
+ kT3kcY976OF+0eKfQRzQNRZKy4UuOYL0skVDA5oOgdi7RqGmYasuiaMTAlDC
708
+ MlRRijqWgo6pyKNlWlEKW7SDPAuyRxWriI8rmjCLCtkXIvvXQjCuJIDxGOAM
709
+ c3YwM6LxkrVKWBvFUQQBPEIbpWiFKnBzGVvgwha20AUwUAmMdgzylfi4xxuw
710
+ CC0tGiRmtTSJ/xx5kuhFUpJlrOEZ04jGbtVKFwoQAXxYqUpbaKYYxQAGNJlR
711
+ DGq2Q4qxvAc+5HEEWj7LlgXB5Td12UhemuQE3vglAUlnRmFisnSzAgMA2mCO
712
+ Z0qzGNDAJz6hMf8NaBjTVq7MpjbPgQNv7gOcBMFctEq2EMoxxAEl4EBC/OcA
713
+ LmAiVYRYlTk3YoHQSXKd1GunJU/Hs4MdLBYKWIApzAHNfvbTmNlD4Ja2JA51
714
+ aJOQ1NDaIXM50WjN4yCp2l9BCAEL5fGrp190gDihpblbfm2cBHmq5xpgiXo4
715
+ 46PUY6cwt7ozk26raC2oJPbMQdaZetWr6qipPfDB1ls0wKAIFUjxdjmQpUJ1
716
+ IJczarSQ2q8m6NVrmGCoQLigSH7NAxNjI8jlMkcItAVAD/FwhmSBOT2dcfWy
717
+ 22pHJVpDhkGd1WBmnaloD4ajeOQDH6hQAFwTIk59CNYgXDihQZpwVIT/RAtz
718
+ 82hCYjnghr8SA3l/bWxCkveswHpuB54px1WdMTrSve2y7SzYtlIAAARYwrOh
719
+ /SxZt8tdmi7IE6PZ6V0LElumSlQhhNBrXGMgTr7uQ7gF4UBwDVI2aK1QIc6C
720
+ xfMaELpyxKMc4WAuc527LZEKM2fdaEcbBACAFYB2u9ndFqwGli3fADIeiiDA
721
+ amHr0/MqpL7jLUgKn8VX+BpEvotMaLQSixDlrbdKBPBDPXzTDuWObsBZNUc3
722
+ DGzAnLXDFa0RQGclPDAK+8bCgLRHIKXYDj8MYMMDoQEu9eGG1yYExAdNyIj3
723
+ wdezGWTL+i0Ibcs523381nMuiMeMadwNyTaX/8Ai1bGO3ZbgbnhgTQKAbIWP
724
+ zOcjzxiQ5FHyPerRjjlUhF/ESNltuWDlD6f4y3u1LZkNUoC/slgglYbWTxHi
725
+ LObRTAGouMeRy5Gtdgi4uVkNKc+6MefRZUsKvgoC2zrT51p7BtBLZhsZDv1U
726
+ 80YEy+vdcpcXEjloccEgxX6WRgvCRX08zwpKHnWp23yNATu31ameXjzyQABf
727
+ aaAZpe4MrW2N5CXbI1te4DW0WmYq3zba0Tw9iLAl/UWFjLm4W4xWmIfaL89V
728
+ YBj24HONp33qyuYYwXSORyUWYDQhCWABlIiHkcXNtj4DWskuInQ7nqDuu2L5
729
+ vRABtpYjfRC60ve2QP9dsUGUd2lqvWHQaj4yAck4YOZmO9udcYUEKBYBCVhA
730
+ Axfww6zDHe6K+znQUpx1QaEcgy3vw9MMETkKSW4Qk1ed6gO5N8gJQtv70swC
731
+ wlDyn31DalLjrM1oR3jBZjjAeBjjAgBoAAlUAAMY2EAFcmAbhcVdaj4nWYoa
732
+ b8cMOp5lgrTWww19tIixHtVJl5zxAimsaw1feJp5wUVqJs+Rwz0watscwYNq
733
+ uzE+oLce9GAIQ4ACFISQhnAQvcJFvzXSk17qFhDelpmGFjHeffJ4Qxpaw15I
734
+ bX//LE/rb9Of9gSu15zc19847YMiei5AAIATgEELswMDGNJgBjPkYuhGt/j/
735
+ 7DNeam/oVLyVH8jHCUGlxPt+8cCnd7+FD/kYcFH3A4ncsdG2gm7EIx0Xxny+
736
+ 8VGSJGAEGA7xkAsbIAA3YAdoYAa3EwflAweuMGvh53d/R3vZMgsVcHsHkWzK
737
+ FnWKp1j1FwNWRxAFoG8JgQnRIlHNxntVIgBlUA3JIA2Zp3mbR3QyFGBu5mrx
738
+ sAoaQADtUT53cD7ngz6d4Bl7Jn7jp2ThhgpvxXQqxlQt13shRoLx93j1dmXR
739
+ YmIFMVfP0lhc44WsggCDEAy5YAzjAIABt2b+xTYARoBuJll/tAoSQABpIAlF
740
+ 6B8TFBkVZAiSQHG19mfm5iJE5wmhIoUDgWL4B29X/4hXJXiCA1FeIZgQkpdp
741
+ iEctFVAJrOAKuVCD8SB2ZKeEZRduy1UO9WAJDSAAdLAJFFRBjIBBGTQJkxAJ
742
+ 1UBuyydFAdd37UAJ4YV+60WJYeiI6Qd/JCZ/XmYQLPgsyDd10OIsZ4Y2JvAI
743
+ ndAJntgM44GD/8VnZUdqzuAZf6AABLAHvYAIskiLk1BERVQKozAJxYCLF6eL
744
+ r3cIGqaIlBcty5ZvxpYQIBh8CJGC0AJ1B3F/0SKQ1OIChVAIlRAK15hOF8aN
745
+ o6aEZEAACoAIv3AJRLSOlGFHdbQJtjCIuKaLAQcrQ+cHFiFbA/lX+pCJjfcs
746
+ +yZmw3d1zKgQIxaNCrGMYP8Dg1biAn3QB4OwkA15gzHXZ/5VD94QBABQAZKA
747
+ DD/EjhxZR6mgCnnER7owbuW2IIX4eoVWEfoTLfuXEMK4D/oQVzj5dGX2VwYp
748
+ EPzylfFlVPpQhQcBhlvnOSagBnKQBwrJkLkAJzc4dkdnCRoAAB9wCrvwlHrE
749
+ CqSEG6iESlYZc/EoRelAdGxjaBPBAQrFVGl5j11YhR9XZTFQAFL2XlumOY3W
750
+ NQbJAYq0kg9RWHDJKiKABWXQBngpCXoJimL3kAsyDmXQbSqAC4U5lZZBC8JJ
751
+ C4vJSs6EC/53a30pkvawDukgcUPXDukGEU1wmV+jD7DAkvZ3nS8ZAyCYOZvj
752
+ dC7/iYJgg0uYcDwO0FvPQgzaOXL70J00EwFEgAVYIJs/aY2rUCnlcJtqpmSU
753
+ QAJrcgNiskelVJyspBn2BAy3SIiP6SLOuQ7gBys+EBHi+TVxVaHHOBAF8J1h
754
+ mFixhZ2pggluAHX1dWwlUJbRQmU6OZDF9zwE0ABDQgRSAAZt4JOV0AmxkJ/N
755
+ gIpINwxPYABrogTwMZyrhAvF4EwIKk3UpE/QwAzQ4JgN6iLpsA7gMHQTNng5
756
+ wQFElSpu0Jpc+JIF0ARbCgsZtaIIUTbNSDMbUAQS0AA40ANSUJ95MAiJ0Amh
757
+ sAp7GYr2MA5vUAF4VgYLg6QIGk2ESk3QkE+Fwk8u1ZdN/2gP4PCg0akO1rAC
758
+ U1EAZkoRzsKW/zIAOWAHQyAAIrADcBqbc1qnsVAN2XILehCEa4IAcvANzOBM
759
+ hAoM+VSrLqWoa4RA0/BHUdqc6fCrzhl9o2MM50caZTN5nqMAWjBBCiAhcDoF
760
+ bSAHP6kKuOAKg2AFfuorTxAP9RRNtKoM/ISr1dAtmFRW4sCrWCmSVPqrUxqZ
761
+ g2IN6mAKDjIczpKM1CIBdoAIvXADRhMBK+ADM9oGbbAFObAB3fYoAvBwyqd3
762
+ 3YKrMDUrEIZWZdWg+LCuUwoOU/qu6oANphCFpFE883CpMbIBiCAJy8BteIYA
763
+ C7AA0GEuQrIAD9AAMKAO4YczMf+lXRG2JaFYiPCAsewKrO1gDdeADdWwcGvh
764
+ V+/FUJmWmaxCAqDACbvQChYwMQiLNA1QARtAAi5AAnlQdONGRp8VYSZlDnoq
765
+ kuzqnGdbDtWADUTrCL94Frn3ngLBgvBJMypwG99ABC5rtVgLAnR3AznQAzyw
766
+ CpK5hHy3JWWFs5zBnBZ7to+qtkQbDIPwZGnxcVwQOW8JRi9QStCACgxntRKg
767
+ AX77Ai8QuKkXO2gwYTQLexdIY4hbVqOlDubGro8KrBgLodXwDLorB2tBkCna
768
+ nv/SA0hqIksgABYAAlpbuj1QBLFDOxD4BYkATK/XuhdGRuLwWfUwSD+Lttub
769
+ DrkbDMH/UAZs8Z2q6UhQEE3GkAzb4Al5YbqqpwVfsH1xIIHk8wrRqZVLmHmA
770
+ xzbcRbZVdLaOe7FTag26AL5Y0BYlsKWMFhG8JbIywQGaKhEQxQVMGxFYwE/F
771
+ sg1twAOxoX1pML92sIfogwgJVmp7F3tHt2T4sItp5Ur14LO167Nnuw7WwAu6
772
+ oAtSsBFMeyqnko9UwYL2ioI8bCov9mtDfCpkWYwRUVHv1X4XUQbXUA2Esw2o
773
+ QAVfML/mc4R8iAiGEAombMJFhsI3qItstcJr5rPci7YWuw7OcMOxkAMa4QZp
774
+ ioKZWgJjeBUcAAsRvEUuZsdz6RAFUALvRlvEUDmRc6HIWhEp/7jHE6EG5jAs
775
+ TCQNfXAGWvyKsigJwYC/EhZ9+TvGLjJI+JAPhEal3CvDtAsPzhALuhALKpAR
776
+ mYZf+8BQkQO8TVEAu9cQZjYQmBMRxaOdZWNic9wRZVPEDiEArwLJ3sANroAH
777
+ liwJQaSOoFDCZATGm5y/GUjGQ9eu22vK61AOsRALrNDKGEEILAi8+oB8XEPM
778
+ SeEAmEDLiziXRUWhznYQsUWiI8E1GoEAi9AOlJIxA7INjGAIGISR6tiRrYC/
779
+ ZJRZA4OB5sZWEld0pPyzv/qozqkLrNAJH4ARWprOCMFFXocJK1mvqJm5G0oM
780
+ iSZYeWzSbkAIHLCh71kAzqJRNJBoxP9wuTFAA/tCOfsyLb2lD6uSx/2iVHKL
781
+ 0/MnEKhCDPPQ0pEDCzC9D6tSMhuKCfPAngdBW/vHRTNz1En9mUQ11RyQx/qA
782
+ nV6Xf/twXtPi0kwd03KcuUYNC0j91SKdX1xH0yadz57QDpBcINwQC5FQ0E9Z
783
+ CsWA0PibwiqMDw9davBaDg96u7U7peugC6dQCROAEYFVNkFcPP6iP565D7l1
784
+ yJXGPBclEPLFPLtcMvVKW9PiVxKVgvoVyO+5KiwoNqz9mSaYWwUQW+cZA7ss
785
+ EORcMsRgXKdd1IRgovuwx4ccAyUACzPT27q9QsON3MWtlkG82xywQqbdV/0C
786
+ moXH3L9dMpz/fWzH3XQhC91kSBELcF39jI3jwA7NsAm2YUfA2QonLNh+l3mD
787
+ lA/3wMlkFA7VUA2Kzb2065zBcAqIoFoWQQPHpj/l3XTFbcdUNhD7sEIgDdP7
788
+ VjYSNQ/w5dZ1FbKxVQJc5GlbVzbMwzUMlWgQLlxlo1GRIxB+VeL3BQscXnlO
789
+ Ldqc9p4wvsAx0OIC8dsmqFHnpT8+DOEgCs8x/jK0JVE63txqKVzF8zJcdDyL
790
+ nM+KEA8ZkzFNwg2vUBlTqZiMKdh9x9BLlg/ntrrTti3Y8AzhsA7OicbAmgun
791
+ UAhvOxH7JrcpZyq69c6e9uHqd1C0xWJhMxB/nn/Ipz/ME1uJpeHS/y3aZsng
792
+ DJUqkSdctHU8gV4Q85BbCpHLczt5GM7iWVbpUGfoB/HLn4kJnjbpvD3Pm57j
793
+ WUboRs1l3ol8w6wRBHAz/cxEK3IOzVAKwymcxaALtgANg/3lfubJa1UPJ0w9
794
+ 1kC0zxAM1rAO8CDRA8wJgEC5FIEqKHPOLRbMR551+8BivUwIyMdFVx3dA4Hh
795
+ 7bft3jnPMRDosa7q5yXVED4tWI0q7SwQ4h7qyrPVJzaXZcMF8w4L9Y7c+X5e
796
+ 6YUQ9WzU53XvCbVC/x7w6O7oMZDqDL4Rj8XPhWMnLFKc0oSg8+21Vhmlh23C
797
+ 8Mq2/b3sBazN67oO3vAJdnAvEjzWzsKiY/9N1iRIEAUP8TddeE0eVcvt6rod
798
+ ZgpP4rzt84clEClO3oJMEDt/EGGK7WX2lZj9y0mPgn61aSb+gWVt71FWjP0m
799
+ 9a+14kue6HNrkxjhBfxcKcjCIu/QDEa68dTEDLzId5LJqCIZ8mRUMCRfDeAL
800
+ vjf832c7Dp/wBRZBCFo0y8hT1Ib3kvhc7o2F84s/8TxfV3PuL7089pKPaeQe
801
+ WyVz9JgmUY+v9OdVPFjPP7PM+Z/JATTAAWsi+iY43T5f8wQR66ZfABIF8UAu
802
+ 9hHPfhsRBO2gMdiI8eeADtHUpOF6DXIf99Y8foRG5ogtqUT7vbwQ/RYdC96g
803
+ 5rZ7ClVQESVA9lz/E+ThXRB//OSDNd5CXwL6EI2IPhCYcGa0FWac//jYeeJG
804
+ X3gsCOhADwtjk/5Rxf4zv+OxPFgAsY9QjBj6YBF0AMvBPmIAADTBFKPEPjcE
805
+ LRIssO/gRYKwiHF0s68EQYMIFcYQSJCLSIKYNhKiyFHmTJoELYzzlmzcOHQ9
806
+ 370j5w0YtGtEr6lrlzRpPKXtmMaDGq/eVHtV6zFtmlSdta3VvBYLFjaYrli6
807
+ WJ1Ktg5eunXpTgmpGdcBTH2EBMQoQGjePn00LM7dC8vvRQ77mnBsos8NoZN4
808
+ 9c3DxGWeXZIDCesjBqvJXkIOVjrouDEGsX2YShQ+HCOkRUKYDyeeBwsW/4fK
809
+ M0MScgOrAEcasPbxhRV7cAzYsmnfZrx7oT7TF7n4Frj7Yt27Fpvsm/f6sfEY
810
+ qAnSwD6QA+bZ+uqCjpv+ooBD7ZpJ6+kTKLti06aZw48Vq1L9TqFOraeq/bJq
811
+ p5utsKnmmWfAGqssVh48hZNg7FkrHVd4UC9DDTeMwYES0LOogBIKWGg4mkTc
812
+ TUT1VFRvxBBP01BE2jh8cUaMYPzLRRpl4gBEGWviwEYUOxxpx7h8iMebbeL7
813
+ 6R153LHmG3XwQ6qp/pxSJyqpAmRKnSqV8rIba7BB0BhoiuGFF7J0MeusU06J
814
+ JJZ17FnHlRGMxDNPgoqMQS89/wQ0UEEHJTRDBP8oiYcadtj5SR6g3GFHHHO8
815
+ zHIpS51aSksAtYQKzG6qwUbBYJ7RRc01H3TzlE8+aSSUdOxZpYNCZyWosIqu
816
+ 45NWXXfltdc8W5BqmyadfFIea6i8FMsro5oKnnXUctbZZ9NJJ55y4gnHG2us
817
+ MSasst58E5RLPomk3EYSkcaXDXwNtABYMGuOXXnnpbfXAMIIkJtG5XnSnXEk
818
+ RWq/AZ/671mDDzY4WngWZthgasHBKRlj0mTFlVM6kcSQSlY5oV6PPwY5ZJD1
819
+ qOqcc/il50lyzBEnWUsJnupZadVCuGaaGcYZ53XYySYbX25ZpZJHBHlBZKOP
820
+ RjppPMM45557yHHnSXr/3PGmZYExZVZmm7c+OJ2eex5mmJ+BXsUSoQvBA441
821
+ xMgCjUQwVDpuuedOWoRA8MGbHr3deccc/vyDii2ta0bn62zC9uXnVUTJJBNL
822
+ FFHkjz/4oEPtMca4IvMoNtc8jjkGiWIAukcnvfReBWghDFmi0VtvgqMax/Cw
823
+ b6FdFMYbX2QRyfngQw425mCDDTLUIB6NM9a4PHnlL8/8iijAAF6OMSgwvXrr
824
+ r8eTAAuA0EMTYeSh56pmckHFFEccGaSPPvKwIw465CA+fuKHnz94NtDAf43j
825
+ l2cec8yb3xwYhje8MaAAewdEYAItooEjvMGBZAjDE6wghjWkIQ1msGAa4mDB
826
+ /zNo0INxaAP85KcG/OHPDCcUQwqzkEK2sS0LWdCc5jaHBS+AYQplwEIWahAA
827
+ BfbQh3MLwAzmQAYvPOEJSzCiFdaGwQxakIkXbKIF29CGMrTBhF8QwxfM8AUu
828
+ crELX6RCF5xABSeUMQlRcAISopCEKVhhCm/EwhbSmIAf1tGOIAsADuZghSP0
829
+ 0YhH1IIYvgiGNHyhkIcEwxcSmcg0gKEMjixDJCWphS9QUguXrEIXqkAFTnIy
830
+ CU5IQiiRgIQkKOEJSkClEqaghB9EIQN3hGUse2WCOShhB0ToYy6P8EUtdIEK
831
+ WgCDJStZyUta8pJYAMMW4rgFLzRTmZusQjSrAAUqMP/BmtYUQhKY8ANu4rII
832
+ RPgmEYigBB4goQayRGc6A6WAKtiSCEEggg+CcAR4ZqGTvyymFqqQT0zqUwtx
833
+ BOgWBGoFglpBClBgAhQUWgQmFEEIDxUCD36gAx74YAc9yAERdpCDHuzABzXQ
834
+ gQ4MoE6SlnRDJyjCDXrgg5X6QJ5BEIITmDBGmepTmjeNJhRyWgUp9NSnPX2C
835
+ FP6ohCEMQQhFFUIPeLBUHtQgBziQQQ5kcAMZVLWqO7ABSKlnUq529SIDeEEO
836
+ bNDRju7ArDgIZVpDmVCFttWtbj1oEaDwTSWI065HeGcQWMpUpfLABjawqgxc
837
+ 4ALBDtawLGBBDULgVcZytQH/L7ABDGxwA8pSdgY50AE3NcvNhspVrkUFrVFD
838
+ C1oiDGGlpzVranGAg7/+9QUvMGwKXCDbFNTWtidAAQtQILrG9hadE4DBa18A
839
+ A+LKYAYzAGlIlatc0Cb1qDwYAnSlq9QeVLe6ObgBdm+w2tUe97gueIEKZltb
840
+ E6TgBCYAQXrVa4IRhCAELKCjb+V7RwuoILyvJW5+a7Bf/va3BkrNAQ8CDGCm
841
+ LrW1gJ3sDWAgA+LOAAYzaAEMWjDhFZxAvRfG8AZAsIEOZKADKNjqfEXcwweo
842
+ wMQmhoF4W/ACxLbYxYidbIwPfGDICle4hnXBClww4QmbgAQk8AB6QfCBDRTZ
843
+ yEW2/4AGknwBDGCgwzwccZQPiIAfV/kEJ1iBCVCwZS53mcs1fq0NbGxj+4rX
844
+ xCtQQZazbAI2e6ADb+6ACDSgZCVbwM4VsACe82yBCVDAzxkYqZQFXb0AfIAE
845
+ hvbAj9HrXkY3utEjKPN9y3xiE1/5yj5mM5uHDGc40xnPFaiABEQtgQiQmtQP
846
+ kAAFJAABCsR30K8e3QVUsIEP1HrTTcZ1rnU9ghOQwNJXHoGvq0yCIX8gvSIg
847
+ 8psv0IELNPsCFMgzqCMw7Qc8oAHXxja2GfCABDwAArAG99wS8IIOWOACRN4A
848
+ BVjtZ3a3u92GPvShaz3vWtP6yM328wQuMAF+81vV045AA/8Cfm0FFLzgCDB4
849
+ wROgAAbwNtwPN1oARjCCC1TgAkpmwAESkAAGdNzjH2dAAijAYWZ3QAMXMPLF
850
+ L2DnfbO7396WQLUfsG2CN2ABClhAzhGwc57v3AAIOMABFOBwiBf9YxRAQQcm
851
+ IIEKPGAATzfAAAwwdaobIOhXnwCfVz4Bi2e93+1etcwZ0ICxM0ABC094z3s+
852
+ AAIggO0GIADcox5oo9edXgfoAAYoYG0DOAQAAQA84J8+eMIbQAITQPXhZe5t
853
+ xo+d42cv+NUlz/O2I4AAl8c8Adi+ec6z3e6fl9cA2q0Av5fe9KcHgAGqne2N
854
+ t37jChD61as+dalL/fKb1/zmBTBdgN0LIAC+/z3vBwBl0BdfVwmAAAMgcADU
855
+ N9/0CJd80GdPdalHnfCEz33vf7994AM/8N8nvvHFP6gBZDwBAzC94AkfgOZX
856
+ 3/rWv378nx6A4Q8f8N4PvEMAP37+6ykgADs=
857
+ EOS
858
+
859
+ NEW_GIF=<<EOS
860
+ R0lGODlhFAAUAOcAAP////7///7+/v39/fz8/f26//v8/Pv7+/r6+vn5+vj5
861
+ +Pj3+Pb39/b29vT19fPz9PPz8/Hx8fDw8O/v7+7u7u3t7ezs7ezs7Orr6+rq
862
+ 6uno6efn6Ofn5+bm5eTk5OPi4+Hh4d7d3tzd3djZ2djY2NXV1tPT09LT09DQ
863
+ 0M/Pz87OzszMzL+/v4aGhoWFhYSEhIGBgYCAgHx8fHt7end3d3RzdHJycm1s
864
+ bWpqa2RkZGJiYlxcW1pZWVZWVVRTVFNTVE1OTkxLTEdISEREREBAQTw9PTg4
865
+ OTQ1NDAwMC4vLygoKCIiIh8gHxwcHBgYGBYVFhEQERAQEQsLCwoKCQcHBwQE
866
+ BAMDAwAz/wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
867
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
868
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP//////////
869
+ ////////////////////////////////////////////////////////////
870
+ ////////////////////////////////////////////////////////////
871
+ ////////////////////////////////////////////////////////////
872
+ ////////////////////////////////////////////////////////////
873
+ ////////////////////////////////////////////////////////////
874
+ ////////////////////////////////////////////////////////////
875
+ ////////////////////////////////////////////////////////////
876
+ ////////////////////////////////////////////////////////////
877
+ /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
878
+ CgB/ACwAAAAAFAAUAAAIxAD/CBxIsKDBgwgTKkzYouELGDJo2Fj4pwWAixhx
879
+ 5EB4RaALjAACENABoMfAjif/xMA44IACHj+ACPmDsuAMAAIMJGDwQIIFFkQS
880
+ 1gCAYIGDCBQwbGBhpOAVlDcANIAw4YKGDh9YIBH4tGAOABEqZODgAUQIFkoS
881
+ 7gAglqxZEiyYHOzoA8DYsiFImGDhhCZBlEEAuM1rIgWLKFwNDgEgYkSJEyhU
882
+ rGAxJWERFpgzZ66S8EgSJUuaPIEihYoVLBRTq169MCAAOw==
883
+ EOS
884
+
885
+ OPEN_GIF=<<EOS
886
+ R0lGODlhFAAUAMIDANnZ2QAAAP//AP///4SEAP///////////yH5BAEAAAcA
887
+ LAAAAAAUABQAAAM4eLrc/jDKSV24dQUxxP0YFHQkxwXRVpaoyIJwq6geYd+3
888
+ PHcB7uuzmi/3uAyJxRgow2w6n9DoIwEAOw==
889
+ EOS
890
+
891
+ PAGE_WHITE_ADD_GIF=<<EOS
892
+ R0lGODlhEAAQAKU2AC5aFTJhFzxiJjZqGTdrGT9xIkx7MVOMKFePLWGKSmKL
893
+ SmaOT3WZYJSUlJWVlYGrYZmZmYe5YIe6YIu6Yo26ZIy8ZZaxho+7ao+8Z7HE
894
+ pbbIq7HTkLLSlbHWkrTUlbbUnNDmuubm5ufn5+np6erq6uvr6+zs7O3t7e/v
895
+ 7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6+vv7+/z8/P//////
896
+ /////////////////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
897
+ R0lNUAAh+QQBCgA/ACwAAAAAEAAQAAAGisCfcEgsDmO0mnIZaxhpLZdUhRrV
898
+ RI5iDSaDwVgpUu3VghBrsjTstSota+dkjRZzqUykEHz4ntdXKCd7Qn1+Lywr
899
+ gz+FNTMyLy+KjDQaDAsJAnxLNJwZDx4gFwgEhJs0MwobHTYVGKSLb5wGHza1
900
+ HK+MBRMSNhGuQjKFFgcUHBQHr0ZCAAMEAwE/QQA7
901
+ EOS
902
+
903
+ QUIT_DEBUG_GIF=<<EOS
904
+ R0lGODlhEAAQAMQAAOgwOOg4QOhASOhIUPBocMAQIOggMMgoONBgcMhQQMhY
905
+ SPiwqMhAOLg4OOhQUPB4ePioqNiYmP///wAAAAAAAAAAAAAAAAAAAAAAAAAA
906
+ AAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAABIALAAAAAAQABAAAAVUoCSOZGme
907
+ aGpGSuu6UZksdF0nMuTsvAPhJEZkQCwOIoySUMBsCpClxgNArQIeSVKDYOh6
908
+ DYRG6TC1Uh+HUiESaLsDkYIaQq/X5SREYc/nI1SAgRIhADs=
909
+ EOS
910
+
911
+ RIGHT_SIDE_GIF=<<EOS
912
+ R0lGODlhEAAQAMZvADp+NEKIO0WGPkSHPVFxqVFyqVNzqVNzqlR1q1R1rFZ2
913
+ rVd5rlh6sFSOUFh7sVp8slx+tFx+tV6Bt16VWV+DuWCFumGFu2OHvWSIvlyi
914
+ VF+hWGaLwGGiWmiOw2yeaV6rVG+QwWmlZGySyGyUyW6UymSvW2+Wy2+XzHCZ
915
+ znKaz2+wZ3qaxG+xaHOc0XOd0nWe1HSzbGq7X3ag1Xa0b3S2a3mi2Hmj2Xqk
916
+ 2nqk23qm3HDCZHun3H+8d3THaIWs3YbDfo2x3YvGhZK56JLLi5bMjprPkqPP
917
+ nqfToqrXpbDdqrHfq7Tjrrjnsrnostbm1NHxzNLzzd7n8tP0z9T10Nb20db3
918
+ 0eTq89f40tf40+fr9ejs9ufu8urw9+vy9+D93Ozy+OH+3eL+3u/z+uL/3uP/
919
+ 3uP/3/L1+/T4+/b6+/b7+/f7+ff8/Pn8/Pr9/fr+/v//////////////////
920
+ /////////////////////////////////////////////////yH+FUNyZWF0
921
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHv4B/goOEhYJA
922
+ Ozk3NTIvLikoJiMrgj5Cl5iZmCB/O56foKAbfzhkZWFXTT1vrK1vF382pqhN
923
+ Om9BW1lWUW8VfzWmYFhMMW8lLF1cWm8SfzJjZWBVSz8fNCohYl9vEX8vwVRK
924
+ PEVEQxwCZm8Pfy2mXlNJMxkwGhNoZ28MfyfuUkgaTgY0aLMmzZsEf0hA8wLl
925
+ SIA3HtS4ccPmzYE/IsaM8fLECABXrQr8IdBhAwYLFCA8cLBAAQIDBAgYmkmz
926
+ 5qBAADs=
927
+ EOS
928
+
929
+ RUBY_DELETE_GIF=<<EOS
930
+ R0lGODlhEAAQAMZzALxCBLlEA8BACblFA+4nLr1NBO8sM8VJEvAuNPAvNvAx
931
+ N8NXGt5CQvI6P81NTfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR8lkJfFKSu9L
932
+ S/VIS91kAfZMT8trLeFoA9RjSfdTVNpkS/dWV/1UVOtcXN9hZOBhZNJxOPhY
933
+ Wd5kZuZkZP5bW/leXu5jY+NoaO52AOp5BeZ3IfpiYttvcfh2APpkY/5kZP93
934
+ EftpZ+9/GPxta/dwcv9/GPlydP1yb+N+f/11cteFhfp2d/11df51df52cvx3
935
+ d+uKQP+GIf54dNiIiN2Ghvl7ff2Af+uKiuOQkP+STfuJifiLi/6JhvSdY++Z
936
+ mvKgoO6iovWocv+dm+ylpf+lpf6oqPa1jf+rq/e1jf+trf+xsf+zs/+5uP3A
937
+ v//Bwf/Gxv/Hx/7Nrv/Pz//Q0P7WuP/R0f/U1P/V1f/W1v/b2//h4f/n5///
938
+ /////////////////////////////////////////////////yH+FUNyZWF0
939
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHoIB/goOEhYaH
940
+ iImFSYxAPjo4NSwoIByDSWNmbHBvZFpXVlVPPw9/SVliXm5qYEMuKi0kDkoz
941
+ Cn9FU1txc2UjEhMYDEEpCYM6TWFyaSsVGRRLJQiFMkZnbTYRFk4mBociQm1E
942
+ EFIfBwADhxpMXFEhJ19rWB2HDT07Al1QN0hHAYcGBACgmUMwB4BEA6jwoPHC
943
+ AzpECy7EgLGhgCJBA84JCgQAOw==
944
+ EOS
945
+
946
+ RUBY_DOCUMENT_GIF=<<EOS
947
+ R0lGODlhEAAQAMZaAO4nLu4oMO8pMe8rMu8sM/AuNPAvNvAxN95CQvI6P81N
948
+ TfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR/FKSu9LS/VIS/ZMT/dTVPdWV/1U
949
+ VOtcXN9hZOBhZPhYWd5kZuZkZP5bW/leXu5jY+NoaPpiYttvceJtb/pkY/5k
950
+ ZPtpZ+5wcvxta/dwcvlydP1yb+N+f/11cteFhfp2d/11df51df52cvx3d/54
951
+ dNiIiN2Ghvl7ff2Af+uKiuOQkPuJifiLi/6Jhu+ZmvKgoO6iov+dm+ylpf+l
952
+ pf6oqP+rq/+trf+xsf+zs/+5uP3Av//Bwf/Gxv/Hx//Pz//Q0P/R0f/U1P/V
953
+ 1f/W1v/b2//h4f/n5///////////////////////////////////////////
954
+ ////////////////////////////////////////////////////////////
955
+ /////////////////////////////////////////////////yH+FUNyZWF0
956
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHfYB/goOEhYaH
957
+ iImFN4wwLispJyEdFxaDN0xPU1dWTUVDQkE9Lwt/N0RLSFVSSTMjHyIaCjgl
958
+ B381QEZYWk4ZDg8TCDEeBoMrO0pZUSARFBA5GwWFJDZQVCgNEjwcBIcYMlQ0
959
+ DD8mA4kVOkc+KgKKfwktLAHuggQA9Pj5+n+BADs=
960
+ EOS
961
+
962
+ RUBY_DOCUMENT_OPEN_GIF=<<EOS
963
+ R0lGODlhEAAQAMZ0ADBxKzJ0LDN2LjV5MDyANe4oMO8sM/AuNPAvNvAxN0SL
964
+ Pd5CQvI6P81NTfM+Q/RBQfBFReZLS+lKSu9ISOxKSvRHR/FKSu9LS/VIS1aY
965
+ UFiaUlOeS/ZMT1yeVVufU4OPTfdTVPdWV/1UVOtcXN9hZF6mUuBhZFyoUvhY
966
+ Wd5kZl6rVOZkZP5bW/leXu5jY+NoaGGwV/piYttvcWusY/pkY/5kZGW0W2e2
967
+ XPtpZ3Cwau5wcvxta3O0avdwcmy8YPlydP1yb+N+f/11cteFhfp2d/11df51
968
+ df52cvx3d/54dNiIiN2Ghvl7ff2Af+uKiuOQkPuJifiLi/6JhorGgpDJiJHL
969
+ iu+ZmpfOkJnPkfKgoO6iov+dm+ylpZ/SlqLTmf+lpabWnf6oqP+rq/+trf+x
970
+ sf+zs/+5uP3Av//Bwf/Gxv/Hx//Pz//Q0P/R0f/U1P/V1f/W1v/b2//h4f/n
971
+ 5////////////////////////////////////////////////yH+FUNyZWF0
972
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHm4B/goOEhYaH
973
+ iImFSYxCQDs4NC0oIByDSWZpbXFwZ1xaWVZPQQ5/SVtlYm9sY0UvKy4jDUoy
974
+ CX9HUl9ydGgiERIWC0MpCIM7TWRzaywUFxNLJAeFMUhqbjUQFU4mBochRG5G
975
+ D1E+NzAnhxhMYVA6NmBeG4gMPz0FKl1YHokGHyU8V6rkIDAg0YYZVKZoEKDo
976
+ j4IOGQI0FDQAwKBAADs=
977
+ EOS
978
+
979
+ RUN_CURRENT_GIF=<<EOS
980
+ R0lGODlhEAAQAMZbAB97AB57Ax99ACF9ACiABCuDATeICjuLD0OPFUiQGkmR
981
+ G1GXIVeYKFubKV6fOmOeMlygPGOfMWWfMV+hQ2miNWKjRmujN2yjPWalSGil
982
+ Rm+kOW+lPXOmQ3SrT3yvVYGzWoCzYYK1Z56enZ6enoW2ZoW2aom4a466b5C8
983
+ dJbBgJfCgpjCg57FiKHGiqHHiqXIjanKkKjLkKrLka3NlL6+u7DOlsHBwcPD
984
+ w8TExMXFxcbGxMbGxsfHx8jIyMnJx8nJycrKysvLy8zMy8zMzM3Nx83Nzc7O
985
+ zs/PzdHR0NPTzd/f1t/f1+Hh2eLi2+Tk2+Xl2+Xl3Obm3efn3ujo3+jo4Orq
986
+ 4+vr5ezs5u3t5u3t5+7u6P//////////////////////////////////////
987
+ ////////////////////////////////////////////////////////////
988
+ /////////////////////////////////////////////////yH+FUNyZWF0
989
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHlIB/goOEhYaH
990
+ iImKiyONjo+NgyNETk5PUFBRUVJTVEkjgiNKOjY2Nzg5Oz0/RVSgfyNLPjep
991
+ q0AcEkhVryNMQjw9QEFFRhcfDFa8TUdBQxsaFA8eMh0JIrA0V1hZWhY1MzIx
992
+ KC4ZBiKQIxEzJyYkICErEASGDTAvLSwlKhgDhwsKCA44SFFBwKI/BSYAOPhn
993
+ QABCgQAAOw==
994
+ EOS
995
+
996
+ RUN_LAST_GIF=<<EOS
997
+ R0lGODlhEAAQAOe1AB57AyJ7DiN6HSV/ACpgtyxityxltC5kti5luS9lujBl
998
+ ujBmujFmuzWHCjNpvDuLETqMFThxv0OPFzt2xDx4wUiRG0mRHUJ5v0aULj98
999
+ xEB8xEqUMkV+wkKAxUKAxlGXI0WCxUWCxkaCxEaDxleYKEeExkmFxFubKUmI
1000
+ xkqIxkuIxUuJxUuJxkyJxk2LyE+Lx0+MyF6fOk+MyWOeMlygPE+NyGOfMWWf
1001
+ MV+hQ2miNmKjRmujN2alSGukQWilRm+kOm+lPWSS23KoRmWU23SrT3yvVYGz
1002
+ WoCzYYK1Z4W2ZoW2alW0+FS191a094m4a466b32w4ZC8dH2y4mW++2e9/Gi/
1003
+ /YS24pbBgJfCgpjCg27C/Z7FiKHGiqHHiqXIjanKkKjLkKrLka3NlLDOlp7X
1004
+ /6DY/7PS8bXU87jW9LTY9rrW9bvW9bvY9rzZ9r3a9sHb+MLc+MTd+cXf+cff
1005
+ +sfg+sjg+cjg+sjg+8nh+8rh+sni+8zh+8vi+8nl+s3j+83j/M7j+8/j/M/k
1006
+ /NDk+83m/NHk/NPl/dLm/NPm/Nfm8tXl/tTm/NTm/dPn/dXn/dbn/dbn/tfn
1007
+ /tbo/tfo/tjo/tzq9t/p9N7q9t7r9t/r9d/s9+Pt9+bw9+nv9ejx+Orx+Ory
1008
+ +uvy+Ozy9+zy+Ovz+uzz+e3z+O30+O30+e30+u70+O/0+e/0+vD0+PD0+fH1
1009
+ +fL2+vP3+/j7+Pj7/fj7////////////////////////////////////////
1010
+ ////////////////////////////////////////////////////////////
1011
+ ////////////////////////////////////////////////////////////
1012
+ ////////////////////////////////////////////////////////////
1013
+ ////////////////////////////////////////////////////////////
1014
+ /////////////////////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh+QQB
1015
+ CgD/ACwAAAAAEAAQAAAI/gD//XMBoyCMGjJerJggsKEJUapOmZo4SlQiKUMa
1016
+ /lMBiw4ePXfkvEFDKw2UIA1bvPoDyA+fOnDUzCLUx8oFgSxeBRok6E+eOG0s
1017
+ iYrViQNOV4gWNSrkxw6cNWfMtBIhMMWqSI8cMTq0Zw4cN2xYjRCIAhUlSpMg
1018
+ GSq0R8gNOKlCCCxRStKkR1kNDephhAQoDwJBhIKEF8iPHDOKhCFSIYPADp4U
1019
+ GTK0Y4yYMGCidPHRQKAGTkuaMLEh5omTJEeQZKEx4B+FTVrKkDnxxQuXLUqw
1020
+ 8BAgMEKmKlSmfLAg4UGMKzowGBDo4JMmTJcqVSJFCgKODbIINFTAYIF3BQkQ
1021
+ BwQAcKCAwIAAOw==
1022
+ EOS
1023
+
1024
+ RUNLAST_GIF=<<EOS
1025
+ R0lGODlhEAAQAOYAAEOTXkiUXzKESUOUW0mRXbPSvC2ERD+VVoe9laXPsE2X
1026
+ X3S0g4C4jqPOrb7axcbcy8bay9fk2jyTTkOXVUGSUk2WXWCncFaSY3Kwf4G4
1027
+ jXOxf0GNTk6YWlKYXjyNSEqTVFGaW12kaNnm2y98OE2XVlukYyp9MjWEPUWN
1028
+ TFSgXMDVwi9/NT6PRESQSTp3PrbSuDeMOzZ2OkiYTDqIPUSTRmykbbDOsbjT
1029
+ ua3Lra3KrbfQt/X49bjSt12dWFSPT1qSVHS2bHGmZ0qIO2yjX2+kYGyjWcvc
1030
+ xXKmXmWjSIa0boe0bpa6gZK5eLrYpoG0WJ3VYIXOLp7VX5i/ba7ecq7cdvv/
1031
+ 9vn+8P7/+Pj46P797/jwsPjomPDYgPjgiPDgqPjQYPjYePjYgLCDGbB8FKFu
1032
+ D5poD6l1FP///////wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1033
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAAGgA
1034
+ LAAAAAAQABAAAAergGhoBUA0BBUZDoKLiwgkUFNSS0EdCYyCEB9KTVZRTkxJ
1035
+ HBGXFj1HKmdVT0hEQxqXGz8+OmdnV1RFNQqXAi4xObVnRkIXAJcGKyY4tTcn
1036
+ IyADlxgeLTZnLygsDxQMlyISMjw3MzAPYg/mYowNEyElKeZZYjtiWOqLDwsH
1037
+ AeZYWmL1vNy7hEZMFy0IEXIZQ1CQGTBbIm4BY6ahIDIYw3whY5FRmS9lOnoM
1038
+ KSgQADs=
1039
+ EOS
1040
+
1041
+ SELECT_GIF=<<EOS
1042
+ R0lGODlhFAAUAKEAAP///wAAAP///////yH5BAEAAAIALAAAAAAUABQAAAI6
1043
+ lBVxyx2gmjwPzlntZVlv03lbKGLAiZZOmkYmi7orm9QvdMpvkn+IVtE5XMGP
1044
+ ruij9JJK1WjJRCQYBQA7
1045
+ EOS
1046
+
1047
+ T_NEW_GIF=<<EOS
1048
+ R0lGODlhFAAUAMIEAE4pKVMoKPSnRNW4kf///////////////yH5BAEKAAcA
1049
+ LAAAAAAUABQAAANJeLrc/lA0CRedJ+gdQF0BIY7el40kUEIhKgrB17qw7L6x
1050
+ I0jzONSVnugX2z2EA6LNlwSyhslmjrWrVqdHjjZiOlwU30+4S+4mAAA7
1051
+ EOS
1052
+
1053
+ TAB_CLOSE_GIF=<<EOS
1054
+ R0lGODlhCQAJAIAAAJAALP///yH5BAAAAAAALAAAAAAJAAkAAAIPhI+BoNa8
1055
+ 1EvLQWrzmbUAADs=
1056
+ EOS
1057
+
1058
+ TAB_DELETE_GIF=<<EOS
1059
+ R0lGODlhEAAQAMZQALxCBLxDBblFA71NBLVQGLZQF79YHcNXGsJdJclkJd1k
1060
+ AatzVOFoA8tsL6p3XNJ2PO52AOp5BeZ3Ifh2AP93Ee9/GP9/GJ6enuuKQP+G
1061
+ IcyZfv+STfSdY9upi/Wocr6+u8HBwcPDw8TExPa1jfe1jcXFxcbGxMbGxsfH
1062
+ x8jIyMnJx8nJycrKysvLy8zMy8zMzM3Nx83Nzc7Ozs/Pzc/Pz9DQ0NHR0NHR
1063
+ 0dLS0tPTzdTU0/7Nrt/f1t/f1/7WuOHh2eLi2+Tk2+Xl2+Xl3Obm3efn3ujo
1064
+ 3+jo4Orq4+vr5ezs5u3t5u3t5+7u6O/v6e/v6v//////////////////////
1065
+ ////////////////////////////////////////////////////////////
1066
+ ////////////////////////////////////////////////////////////
1067
+ /////////////////////////////////////////////////yH+FUNyZWF0
1068
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHj4B/goOEhYaH
1069
+ iImKixeNjo+NgxcwQUFCQ0NEREVGRzkXghc8JiAgISIlJykrMUegfxc9KiGp
1070
+ qywvMTZIrxc/LigpLC0xMjU3Okm8QDMtLzI0NTgaCAEGC7AfSktMTU5OTx0P
1071
+ JD4eDQ6QjwQjGxQZGAWJADtQ9BUAiQIcFhMQDAKJBxJIiKBgwKI/AgD8+xMI
1072
+ ADs=
1073
+ EOS
1074
+
1075
+ TREE_NODE_CLASS_GIF=<<EOS
1076
+ R0lGODlhEAAQAMZIAP/z/3zbZ//3/2/fYdr/4ELQMMvouD/aNIjmduz75nXp
1077
+ bnDmXDrmLjbUJ0HjOPD/8UHULnzceDPIJsn/uEbjPvb/+Of69O328c/3w5Lx
1078
+ ldb+2df60ELaOkvjQEHfMsX/xJfvh//8+v/s//P//7HsskrbQMD/tMTftDPe
1079
+ Lf/+/1/VSTvcQfj//f/5/9H4y+X55P/y//f////0//v///X26F7bTubw5HTe
1080
+ YnfgaYzmhf/7+7TorUXWMlLYQNrs1rTurdrz0+/88bLurafrn4Pjd83qyLvx
1081
+ uznTJf//////////////////////////////////////////////////////
1082
+ ////////////////////////////////////////////////////////////
1083
+ ////////////////////////////////////////////////////////////
1084
+ /////////////////////////////////////////////////ywAAAAAEAAQ
1085
+ AAAHnoACLRUaJBElDAwlESQaFS0CLCkbCgcQBRwcBRAHChspLA8YCA0eIC4E
1086
+ BC4gHg0IGA8JA0cLKba3KSYLRwMJJw4UuA4OtwYUDicBKBm3xCnOKRkoAR0S
1087
+ zbi3HxIdKyrX2CkTKivd39jiK9XmuNodysy2ztDSAb/BzdDGyLK04Lm7vUSR
1088
+ MoVKFStXsCRRsoRJEydPoAQRMoRIESNHkAIBADs=
1089
+ EOS
1090
+
1091
+ TREE_NODE_DEF_GIF=<<EOS
1092
+ R0lGODlhDgAOANU3AP/NP//bkf/IOf/BMP/AL//ENP/ZTv/cUv/bkv+5J//V
1093
+ Sf/FNf/USP+5Jv/RRP+9K//gVv/MPv+6KP/QQ//xrf/JOv/RRf/OQP/KO//v
1094
+ q//FNv++LP/tp//akf/UR//YTP/spv/jWv/ZTf/QQv/SRf+8K//zrv/uqP/z
1095
+ r//XTP+9LP/eVP/bUP/dUv/uqf/WSv/WSf/wq//0sP/BMf/YTZyirru/x///
1096
+ /wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAADcALAAAAAAOAA4AAAZq
1097
+ wJtwaLMNj0jbyohMslJMYXFa/HhG0ZrMRMmcQIxJRMCsoUKQAy08LhCMNUpa
1098
+ pHAABO5Sw1aLtQx1ABULAw8NHXwuBjAWgoQPCQFwHC8kFxgaMyqRZTWenxsS
1099
+ kk1DNRIIUaQ1qKRHNalCQQA7
1100
+ EOS
1101
+
1102
+ TREE_NODE_DEFCLASS_GIF=<<EOS
1103
+ R0lGODlhDgAOAIQfAD6FMmekXGmlXmqmYGynYW+pZXOsaHStanivbnqwcHuy
1104
+ cn2zc4G1d4K2eYS3e4i6f4q7gYy8g429hY++h5HAiZPBi5fDj5nEkZvGk53H
1105
+ lZ/Il7fXsrnYtLzZtsDcu////yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh
1106
+ +QQBAAAfACwAAAAADgAOAAAFVuAnjkEwnmhQmWg6RaxYzqX0NDHg7R233QsE
1107
+ S3exUGyNoKFg0hklEIeyICgBOhWoNHEoDAKYAIAjiWy730xzY2Yo0AG1CECv
1108
+ AwjxWAsQ0Oj3fi0ofC0hADs=
1109
+ EOS
1110
+
1111
+ TREE_NODE_MODULE_GIF=<<EOS
1112
+ R0lGODlhEAAQAKUkAHKtx3uyy32zzH+1zYG2zoS4z4a50Ii60Yq80o2905TB
1113
+ 1pbD15vG2Z3H2qDJ26LK3KXM3afN3rbW5LnX5cHc6MPd6cvi7Nbo8Nnq8dzr
1114
+ 8t/t8+Hu9OTw9efy9u31+PP4+vb6+/n7/Pz9/f/+////////////////////
1115
+ ////////////////////////////////////////////////////////////
1116
+ /////////////////////////////////ywAAAAAEAAQAAAGo0CQkFNxHI4H
1117
+ R4UjFIY0EMJAUCgIBgSIJgT6YCIBgyTT6WQkhkAE8/EwAo2QfB66NAwPD+WA
1118
+ CIlEIyIfInIWCwoUDQMTgAl/fwlyEwMNCQB+IwmOIpqEGwAJBZGNnI6OIR2h
1119
+ opibkIQeoZasj5yEGaCKjJm0kSGTDXt9fn+DhQgHFG5wc4RydgEMHl5gYmRm
1120
+ aGpsIE9RU1VXWVtNIERGSEpMQkEAOw==
1121
+ EOS
1122
+
1123
+ W_MAX_GIF=<<EOS
1124
+ R0lGODlhCwAJAIABAAAAAP///yH5BAEKAAEALAAAAAALAAkAAAITDI4Ylrmw
1125
+ 4puSwmpovXdryTVKAQA7
1126
+ EOS
1127
+
1128
+ W_NORM_GIF=<<EOS
1129
+ R0lGODlhCwAJAIABAAAAAP///yH5BAEKAAEALAAAAAALAAkAAAIUjIFpm7oB
1130
+ XjwtTlghk2j7r3HNUwAAOw==
1131
+ EOS
1132
+
1133
+ WIDGET_COPY_GIF=<<EOS
1134
+ R0lGODlhEAAQAMZtAEJzxUR0xUV1xkV1x0V1yEZ1yEd5x0l5x0p5x0t5yEh9
1135
+ w058yFN/ylSAylCDylKDzFOCz1ODylaBzlKEylWDzFOFy1SFylWFy1SGy1WF
1136
+ z1WGy1WGzFaGy1aGzFeHy1eHzFaIy1aIzFiHzFeIzF+WzGWVzW2e0Gyg0Yi7
1137
+ 6pG65JG75JK75JO75Ja+5Za/5pPB7J3D6q/R87TT9bvX9rzZ98Lc+cLc+sTd
1138
+ +cTe+cXe/Mjf+sfg+8Ti+srg+szi+8vi/8vj+83i/M3j/NDk/NHk/NHl/dLl
1139
+ /NLl/9Tm/dXn/tXn/9bn/dfn/9np/93p9dvq/+Pv+uTv+ufx7+fw+ujy7+jx
1140
+ +ufy+unx++fy/ejy/Ory++vy++jz/unz/evz+urz/ezz/O70/e71++31/u/2
1141
+ +/D2/vD3//H3/vH3//L3//P4+/P5/v7/////////////////////////////
1142
+ /////////////////////////////////////////////////yH+FUNyZWF0
1143
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBCgB/ACwAAAAAEAAQAAAHtYAGGyMgIR4O
1144
+ f4mKihZtXV9ZUFYoGYuKHGM5OzUyazwvCpZ/GmVBQjo0Tl5qJaIaZ0ZEPjcw
1145
+ LisrJyYkihhpSUlFPS1sj5GTlRVmSktIQyyZm52fChNoTU9NTCulp6mrEQ9i
1146
+ 19krsLI3MzFRIhBS40wqvsA9ODRYHwtgVVNXWinKzIYAscGlA4IEBAYkrPbu
1147
+ yA80F0SFa/iDDAVR7ShSkSDqgD5+WrRsCdNAFAABCRMOKBCAQSAAOw==
1148
+ EOS
1149
+
1150
+ BOOK_GIF=<<EOS
1151
+ R0lGODlhEAAQALMAAAAAAL8AAAC/AL+/AP///78AvwC/v8DAwICAgP8AAAD/
1152
+ AP//AAAA//8A/wD//+b//yH5BAEAAA8ALAAAAAAQABAAQARW8D0kq7UgkA3O
1153
+ JgtCBMCTbQcibiFQVqcLIIc3lmdqqRSscTaQiGQK/Dqf0M1nlDldElrvcjkR
1154
+ eqrNtPhBLrRLK8ELJorJ12VRg0TI1EWXcT5/SWRUSwQAOw==
1155
+ EOS
1156
+
1157
+ FOLDER_GIF=<<EOS
1158
+ R0lGODlhEgASAKIHAAAAAO/Tpa2qrYSCAP///4SChPfjtf///yH5BAEAAAcA
1159
+ LAAAAAASABIAAANOSLrcLsJJAlWlUcbLM/OXYShAE4mWIIrlR6wjxpovHANG
1160
+ u5w2G+iWWg/wo/V8QErgmCs2AMSh0wFdroiAwoRQRRa02ypgkAVvudDveZ0A
1161
+ ADs=
1162
+ EOS
1163
+
1164
+ FIND_GIF=<<EOS
1165
+ R0lGODlhFwAWAOYAAAAAAP////r6/P39/t/i6+Xo8brG4OLm7xc5fCBAfiZG
1166
+ hClJhytIgjBPizRRiUpklvj5+xQ3dxc8fhg7fBc4dRw9fCFEhSFEhCFCfiRH
1167
+ hyRGhiZIhyVFgidJhyhKhylLiilKhi1PjDFTkTFUkTFRijZUiztalDpZkTlY
1168
+ jzlXjjxbkk1spklmm0pnnElklk5rn09rnV+AuVdyo2yJvGN7qHWRw2l+pISe
1169
+ zXiOtHySuYmgyoyjzYuiy5Op0IaavZmowq+/3Zqpw7jI5MfS583Y7NLZ5uHo
1170
+ 9T9flVN1r1V2rE5snlZ2rV9/tVVumWJ+q2N+rGeBq2yFsHCJsnCHrnKJsIei
1171
+ 0HaNsnqRt2yAoXuRtn+Wun+VuZKkwo6gvcHS7rjI48TV8Jejt6KsvfP2+/H0
1172
+ +Vp3pJGlxLLE37HD3vD2/erw9////wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1173
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH5BAEAAGsA
1174
+ LAAAAAAXABYAAAezgGuCg4SFhoeIiYqLjI2IXDgug0E4D442ZBiDmJqMP1cw
1175
+ DSVrZqCijFoQaDUWa6lnVRqMW08sISYtNFIyHheLOWppYEwbDlYBY15LGYli
1176
+ VAFDPCsSa1ZFRDpJE2GIXVEvIgsKCBxTLyMfFREniD4DRkI3CYNOBQRAMyCI
1177
+ WQJfOzHzBNW7l29fv38B1wzEp+8QP38A6R0w0ANJB0RYyhxBkYLBIChNlKgg
1178
+ QcGRyZMoU6pcGQgAOw==
1179
+ EOS
1180
+
1181
+ CLEAR_GIF=<<EOS
1182
+ R0lGODlhEAAQAPcAAAQEBISEhMTExPr6+gAAAAAAAAAAAAAAAAAAAAAAAAAA
1183
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1184
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1185
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1186
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1187
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1188
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1189
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1190
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1191
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1192
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1193
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1194
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1195
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1196
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1197
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1198
+ AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
1199
+ AAAAAAAAAAAAAAAAAP///yH5BAEAAP8ALAAAAAAQABAAQAhPAP8JHEiwIEEA
1200
+ /wYkTAggQACEBgUiVKjwH0SIBzFG3Mhx4sIBDSE+LNhwJMeTKFP+ewigpcOI
1201
+ HimaHBiTocMAND/anGnRYEiDLFu6VHkyIAA7
1202
+ EOS
1203
+
1204
+ EXPAND_GIF=<<EOS
1205
+ R0lGODlhFAAUAMT/AAAAAP////Pz/fr6/vz8/9zd+tvc+QAK2A4X2hMc2xcg
1206
+ 3Bwl3CAp3TM74DhA4TxE4U9W5FNa5WZs6HR56nl+64uQ7Zmd752h8Kyv8q2w
1207
+ 8tHT+OPk++jp+9Hb/////wAAACwAAAAAFAAUAEAFh2AnjmRpHigaHNAQUNx6
1208
+ yEA3B7iMJlKUorWDw9FoBIrFxY9myzmfOaBtCXVKDxGLNqDFFAg4xey6dE1U
1209
+ A9VV9tAdFAHN7xCc2+31u54+TbnnTAcVVQEZBhcIY3yAKC0BAgFigUuOZzFq
1210
+ iykROGcqHJhBK4NucBtzoX4/DHGnfXt4ALGys7S0IQA7
1211
+ EOS
1212
+
1213
+ EXPAND2_GIF=<<EOS
1214
+ R0lGODlhCgAKAIAAAP///7cWGSH5BAAAAAAALAAAAAAKAAoAAAIPhI+pF7HQ
1215
+ XkytOmTXfDwVADs=
1216
+ EOS
1217
+
1218
+ EXIT_STORY_GIF=<<EOS
1219
+ R0lGODlhFQAUAMIEAAB9AIX/hZmZmTMzM////////////////yH+FUNyZWF0
1220
+ ZWQgd2l0aCBUaGUgR0lNUAAh+QQBAAAHACwAAAAAFQAUAAADYHi63DuwwUmp
1221
+ EEOSzfu4mNaN35Uxw0iCA+ACR6pyJQYEASzPRN3iOsJL5bvlYkLgymR8uXA5
1222
+ D8sIrSo3nwnVCgXQps5XV2rSNJchlNBFZIl4vvcsrub13KiKvnRy+B8QCQA7
1223
+ EOS
1224
+
1225
+ EXPAND_LIGHT_GIF=<<EOS
1226
+ R0lGODdhCwALAOf/AAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoK
1227
+ CgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZ
1228
+ GRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygo
1229
+ KCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3
1230
+ Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZG
1231
+ RkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVV
1232
+ VVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2Rk
1233
+ ZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNz
1234
+ c3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKC
1235
+ goODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGR
1236
+ kZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6Cg
1237
+ oKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+v
1238
+ r7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+
1239
+ vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3N
1240
+ zc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc
1241
+ 3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr
1242
+ 6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6
1243
+ +vv7+/z8/P39/f7+/v///ywAAAAACwALAAAIKgD/CRRoa6DBf7YSJjw4sOBB
1244
+ hwgbEpQ4UaFFixEnaoTIkSHEhxgZZhwYEAA7
1245
+ EOS
1246
+
1247
+ TREE_NODE_GIF=<<EOS
1248
+ PCFET0NUWVBFIEhUTUwgUFVCTElDICItLy9XM0MvL0RURCBIVE1MIDQuMDEv
1249
+ L0VOIiAiaHR0cDovL3d3dy53My5vcmcvVFIvaHRtbDQvc3RyaWN0LmR0ZCI+
1250
+ DQo8SFRNTD48SEVBRD48VElUTEU+VGhlIHBhZ2UgY2Fubm90IGJlIGZvdW5k
1251
+ PC9USVRMRT4NCjxNRVRBIEhUVFAtRVFVSVY9IkNvbnRlbnQtVHlwZSIgQ29u
1252
+ dGVudD0idGV4dC9odG1sOyBjaGFyc2V0PVdpbmRvd3MtMTI1MiI+DQo8U1RZ
1253
+ TEUgdHlwZT0idGV4dC9jc3MiPg0KICBCT0RZIHsgZm9udDogOHB0LzEycHQg
1254
+ dmVyZGFuYSB9DQogIEgxIHsgZm9udDogMTNwdC8xNXB0IHZlcmRhbmEgfQ0K
1255
+ ICBIMiB7IGZvbnQ6IDhwdC8xMnB0IHZlcmRhbmEgfQ0KICBBOmxpbmsgeyBj
1256
+ b2xvcjogcmVkIH0NCiAgQTp2aXNpdGVkIHsgY29sb3I6IG1hcm9vbiB9DQo8
1257
+ L1NUWUxFPg0KPC9IRUFEPjxCT0RZPjxUQUJMRSB3aWR0aD01MDAgYm9yZGVy
1258
+ PTAgY2VsbHNwYWNpbmc9MTA+PFRSPjxURD4NCg0KPGgxPlRoZSBwYWdlIGNh
1259
+ bm5vdCBiZSBmb3VuZDwvaDE+DQpUaGUgcGFnZSB5b3UgYXJlIGxvb2tpbmcg
1260
+ Zm9yIG1pZ2h0IGhhdmUgYmVlbiByZW1vdmVkLCBoYWQgaXRzIG5hbWUgY2hh
1261
+ bmdlZCwgb3IgaXMgdGVtcG9yYXJpbHkgdW5hdmFpbGFibGUuDQo8aHI+DQo8
1262
+ cD5QbGVhc2UgdHJ5IHRoZSBmb2xsb3dpbmc6PC9wPg0KPHVsPg0KPGxpPk1h
1263
+ a2Ugc3VyZSB0aGF0IHRoZSBXZWIgc2l0ZSBhZGRyZXNzIGRpc3BsYXllZCBp
1264
+ biB0aGUgYWRkcmVzcyBiYXIgb2YgeW91ciBicm93c2VyIGlzIHNwZWxsZWQg
1265
+ YW5kIGZvcm1hdHRlZCBjb3JyZWN0bHkuPC9saT4NCjxsaT5JZiB5b3UgcmVh
1266
+ Y2hlZCB0aGlzIHBhZ2UgYnkgY2xpY2tpbmcgYSBsaW5rLCBjb250YWN0DQog
1267
+ dGhlIFdlYiBzaXRlIGFkbWluaXN0cmF0b3IgdG8gYWxlcnQgdGhlbSB0aGF0
1268
+ IHRoZSBsaW5rIGlzIGluY29ycmVjdGx5IGZvcm1hdHRlZC4NCjwvbGk+DQo8
1269
+ bGk+Q2xpY2sgdGhlIDxhIGhyZWY9ImphdmFzY3JpcHQ6aGlzdG9yeS5iYWNr
1270
+ KDEpIj5CYWNrPC9hPiBidXR0b24gdG8gdHJ5IGFub3RoZXIgbGluay48L2xp
1271
+ Pg0KPC91bD4NCjxoMj5IVFRQIEVycm9yIDQwNCAtIEZpbGUgb3IgZGlyZWN0
1272
+ b3J5IG5vdCBmb3VuZC48YnI+SW50ZXJuZXQgSW5mb3JtYXRpb24gU2Vydmlj
1273
+ ZXMgKElJUyk8L2gyPg0KPGhyPg0KPHA+VGVjaG5pY2FsIEluZm9ybWF0aW9u
1274
+ IChmb3Igc3VwcG9ydCBwZXJzb25uZWwpPC9wPg0KPHVsPg0KPGxpPkdvIHRv
1275
+ IDxhIGhyZWY9Imh0dHA6Ly9nby5taWNyb3NvZnQuY29tL2Z3bGluay8/bGlu
1276
+ a2lkPTgxODAiPk1pY3Jvc29mdCBQcm9kdWN0IFN1cHBvcnQgU2VydmljZXM8
1277
+ L2E+IGFuZCBwZXJmb3JtIGEgdGl0bGUgc2VhcmNoIGZvciB0aGUgd29yZHMg
1278
+ PGI+SFRUUDwvYj4gYW5kIDxiPjQwNDwvYj4uPC9saT4NCjxsaT5PcGVuIDxi
1279
+ PklJUyBIZWxwPC9iPiwgd2hpY2ggaXMgYWNjZXNzaWJsZSBpbiBJSVMgTWFu
1280
+ YWdlciAoaW5ldG1nciksDQogYW5kIHNlYXJjaCBmb3IgdG9waWNzIHRpdGxl
1281
+ ZCA8Yj5XZWIgU2l0ZSBTZXR1cDwvYj4sIDxiPkNvbW1vbiBBZG1pbmlzdHJh
1282
+ dGl2ZSBUYXNrczwvYj4sIGFuZCA8Yj5BYm91dCBDdXN0b20gRXJyb3IgTWVz
1283
+ c2FnZXM8L2I+LjwvbGk+DQo8L3VsPg0KDQo8L1REPjwvVFI+PC9UQUJMRT48
1284
+ L0JPRFk+PC9IVE1MPg0K
1285
+ EOS
1286
+
1287
+ OFF_GIF=<<EOS
1288
+ R0lGODlhCgAKAKECAAAAALKysv///////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1289
+ R0lNUAAh+QQBCgACACwAAAAACgAKAAACF5SPAstrEKIET85qA1VZY7tBWdgw
1290
+ yVkAADs=
1291
+ EOS
1292
+
1293
+ ON_GIF=<<EOS
1294
+ R0lGODlhCgAKAKECAAAAAADxF////////yH5BAEKAAIALAAAAAAKAAoAAAIX
1295
+ lI8Cy2sQogRPzmoDVVlju0FZ2DDJWQAAOw==
1296
+ EOS
1297
+
1298
+ FREEZE_GIF=<<EOS
1299
+ R0lGODlhCgAKAKECAAAAANrwIf///////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1300
+ R0lNUAAh+QQBCgACACwAAAAACgAKAAACF5SPAstrEKIET85qA1VZY7tBWdgw
1301
+ yVkAADs=
1302
+ EOS
1303
+
1304
+
1305
+ D_NEXT_GIF=<<EOS
1306
+ R0lGODlhEAAQAKECAPEoAADxF////////yH5BAEKAAIALAAAAAAQABAAAAIg
1307
+ lI+py+0PYwo0KCAqNTYB0G3fSH5ceIFndWWsBMeyXAAAOw==
1308
+ EOS
1309
+
1310
+ D_STEP_INTO_GIF=<<EOS
1311
+ R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1312
+ R0lNUAAh+QQBCgACACwAAAAAEAAQAAACJpSPqcvtb4CcEh7AcNKWb+UZwTgK
1313
+ WigER1CZihpRKJy2C1mi1q4UADs=
1314
+ EOS
1315
+
1316
+ D_STEP_OUT_GIF=<<EOS
1317
+ R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1318
+ R0lNUAAh+QQBCgACACwAAAAAEAAQAAACI5SPqcvtCh4CcdGLbaKsnhqEoeEl
1319
+ wXEKJZJiKwo74ijV9o0UADs=
1320
+ EOS
1321
+
1322
+ D_RESUME_GIF=<<EOS
1323
+ R0lGODlhEAAQAKECAPEoAADxF////////yH+FUNyZWF0ZWQgd2l0aCBUaGUg
1324
+ R0lNUAAh+QQBCgACACwAAAAAEAAQAAACH5SPqcvtDyNAoYYFprm5+76FTCZw
1325
+ 37lZmAax0QvHSwEAOw==
1326
+ EOS
1327
+
1328
+ D_QUIT_GIF=<<EOS
1329
+ R0lGODlhEAAQAIABAPEoAP///yH+FUNyZWF0ZWQgd2l0aCBUaGUgR0lNUAAh
1330
+ +QQBCgABACwAAAAAEAAQAAACIoyPqcutAKN8DFAJg012m35poHeMY1hqF6Zy
1331
+ 1YNNzkzXSAEAOw==
1332
+ EOS