HDLRuby 2.4.15 → 2.4.21

Sign up to get free protection for your applications and to get access to all the features.
@@ -0,0 +1,1339 @@
1
+ `timescale 1ps/1ps
2
+
3
+ module _____00003aT0( );
4
+ reg rst;
5
+ reg clk1;
6
+ reg clk2;
7
+ reg clk3;
8
+ reg [7:0] idata;
9
+ reg [7:0] odata;
10
+ wire [7:0] odata2;
11
+ reg [3:0] counter;
12
+ wire _00003a13;
13
+ wire [1:0] _00003a14;
14
+ reg _00003a8;
15
+ wire [7:0] _00003a9;
16
+ reg [1:0] _00003a10;
17
+ reg _00003a11;
18
+ reg [7:0] _00003a12 [0:2];
19
+ wire [7:0] _00003a4;
20
+ wire _00003a5;
21
+ wire [1:0] _00003a6;
22
+ reg [7:0] _00003a7 [0:2];
23
+ reg _00003a1;
24
+ reg [1:0] _00003a2;
25
+ reg _00003a3;
26
+ reg [7:0] my__ch_00003a0_00003a_00003abuffer [0:2];
27
+ reg [1:0] my__ch_00003a0_00003a_00003arptr;
28
+ reg [1:0] my__ch_00003a0_00003a_00003awptr;
29
+ wire my__ch_00003a0_00003a_00003arreq;
30
+ wire my__ch_00003a0_00003a_00003awreq;
31
+ reg my__ch_00003a0_00003a_00003arack;
32
+ reg my__ch_00003a0_00003a_00003awack;
33
+ reg [7:0] my__ch_00003a0_00003a_00003ardata;
34
+ wire [7:0] my__ch_00003a0_00003a_00003awdata;
35
+ wire my__ch_00003a0_00003a_00003arsync;
36
+ wire my__ch_00003a0_00003a_00003awsync;
37
+
38
+ assign _00003a13 = my__ch_00003a0_00003a_00003awack;
39
+
40
+ assign _00003a14 = my__ch_00003a0_00003a_00003arptr;
41
+
42
+ assign _00003a8 = my__ch_00003a0_00003a_00003awreq;
43
+
44
+ assign _00003a9 = my__ch_00003a0_00003a_00003awdata;
45
+
46
+ assign _00003a10 = my__ch_00003a0_00003a_00003awptr;
47
+
48
+ assign _00003a11 = my__ch_00003a0_00003a_00003awsync;
49
+
50
+ assign _00003a12 = my__ch_00003a0_00003a_00003abuffer;
51
+
52
+ assign _00003a4 = my__ch_00003a0_00003a_00003ardata;
53
+
54
+ assign _00003a5 = my__ch_00003a0_00003a_00003arack;
55
+
56
+ assign _00003a6 = my__ch_00003a0_00003a_00003awptr;
57
+
58
+ assign _00003a7 = my__ch_00003a0_00003a_00003abuffer;
59
+
60
+ assign _00003a1 = my__ch_00003a0_00003a_00003arreq;
61
+
62
+ assign _00003a2 = my__ch_00003a0_00003a_00003arptr;
63
+
64
+ assign _00003a3 = my__ch_00003a0_00003a_00003arsync;
65
+
66
+ always @( posedge clk2 ) begin
67
+
68
+ _00003a11 <= 32'd1;
69
+
70
+ _00003a8 <= 32'd0;
71
+
72
+ if (rst) begin
73
+ idata <= 32'd0;
74
+ end
75
+ else begin
76
+ if ((((_00003a10 + 32'd1) % 32'd3) != _00003a14)) begin
77
+ _00003a12[_00003a10] <= idata;
78
+ _00003a10 <= ((_00003a10 + 32'd1) % 32'd3);
79
+ idata <= (idata + 32'd1);
80
+ end
81
+ end
82
+
83
+ end
84
+
85
+ always @( posedge clk2 ) begin
86
+
87
+ _00003a3 <= 32'd1;
88
+
89
+ _00003a1 <= 32'd0;
90
+
91
+ if (rst) begin
92
+ counter <= 32'd0;
93
+ end
94
+ else begin
95
+ if ((_00003a2 != _00003a6)) begin
96
+ odata <= _00003a7[_00003a2];
97
+ _00003a2 <= ((_00003a2 + 32'd1) % 32'd3);
98
+ counter <= (counter + 32'd1);
99
+ end
100
+ end
101
+
102
+ end
103
+
104
+ initial begin
105
+
106
+ clk1 = 32'd0;
107
+
108
+ clk2 = 32'd0;
109
+
110
+ clk3 = 32'd0;
111
+
112
+ rst = 32'd0;
113
+
114
+ #10000
115
+
116
+ clk1 = 32'd1;
117
+
118
+ #10000
119
+
120
+ clk1 = 32'd0;
121
+
122
+ rst = 32'd1;
123
+
124
+ #3000
125
+
126
+ clk2 = 32'd1;
127
+
128
+ #3000
129
+
130
+ clk3 = 32'd0;
131
+
132
+ #4000
133
+
134
+ clk1 = 32'd1;
135
+
136
+ #10000
137
+
138
+ clk1 = 32'd0;
139
+
140
+ #3000
141
+
142
+ clk2 = 32'd0;
143
+
144
+ #3000
145
+
146
+ clk3 = 32'd1;
147
+
148
+ #2000
149
+
150
+ rst = 32'd0;
151
+
152
+ #2000
153
+
154
+ clk1 = 32'd1;
155
+
156
+ #10000
157
+
158
+ clk1 = 32'd0;
159
+
160
+ #3000
161
+
162
+ clk2 = ~clk2;
163
+
164
+ #3000
165
+
166
+ if ((clk2 == 32'd0)) begin
167
+ clk3 = ~clk3;
168
+ end
169
+
170
+ #4000
171
+
172
+ clk1 = 32'd1;
173
+
174
+ #10000
175
+
176
+ clk1 = 32'd0;
177
+
178
+ #3000
179
+
180
+ clk2 = ~clk2;
181
+
182
+ #3000
183
+
184
+ if ((clk2 == 32'd0)) begin
185
+ clk3 = ~clk3;
186
+ end
187
+
188
+ #4000
189
+
190
+ clk1 = 32'd1;
191
+
192
+ #10000
193
+
194
+ clk1 = 32'd0;
195
+
196
+ #3000
197
+
198
+ clk2 = ~clk2;
199
+
200
+ #3000
201
+
202
+ if ((clk2 == 32'd0)) begin
203
+ clk3 = ~clk3;
204
+ end
205
+
206
+ #4000
207
+
208
+ clk1 = 32'd1;
209
+
210
+ #10000
211
+
212
+ clk1 = 32'd0;
213
+
214
+ #3000
215
+
216
+ clk2 = ~clk2;
217
+
218
+ #3000
219
+
220
+ if ((clk2 == 32'd0)) begin
221
+ clk3 = ~clk3;
222
+ end
223
+
224
+ #4000
225
+
226
+ clk1 = 32'd1;
227
+
228
+ #10000
229
+
230
+ clk1 = 32'd0;
231
+
232
+ #3000
233
+
234
+ clk2 = ~clk2;
235
+
236
+ #3000
237
+
238
+ if ((clk2 == 32'd0)) begin
239
+ clk3 = ~clk3;
240
+ end
241
+
242
+ #4000
243
+
244
+ clk1 = 32'd1;
245
+
246
+ #10000
247
+
248
+ clk1 = 32'd0;
249
+
250
+ #3000
251
+
252
+ clk2 = ~clk2;
253
+
254
+ #3000
255
+
256
+ if ((clk2 == 32'd0)) begin
257
+ clk3 = ~clk3;
258
+ end
259
+
260
+ #4000
261
+
262
+ clk1 = 32'd1;
263
+
264
+ #10000
265
+
266
+ clk1 = 32'd0;
267
+
268
+ #3000
269
+
270
+ clk2 = ~clk2;
271
+
272
+ #3000
273
+
274
+ if ((clk2 == 32'd0)) begin
275
+ clk3 = ~clk3;
276
+ end
277
+
278
+ #4000
279
+
280
+ clk1 = 32'd1;
281
+
282
+ #10000
283
+
284
+ clk1 = 32'd0;
285
+
286
+ #3000
287
+
288
+ clk2 = ~clk2;
289
+
290
+ #3000
291
+
292
+ if ((clk2 == 32'd0)) begin
293
+ clk3 = ~clk3;
294
+ end
295
+
296
+ #4000
297
+
298
+ clk1 = 32'd1;
299
+
300
+ #10000
301
+
302
+ clk1 = 32'd0;
303
+
304
+ #3000
305
+
306
+ clk2 = ~clk2;
307
+
308
+ #3000
309
+
310
+ if ((clk2 == 32'd0)) begin
311
+ clk3 = ~clk3;
312
+ end
313
+
314
+ #4000
315
+
316
+ clk1 = 32'd1;
317
+
318
+ #10000
319
+
320
+ clk1 = 32'd0;
321
+
322
+ #3000
323
+
324
+ clk2 = ~clk2;
325
+
326
+ #3000
327
+
328
+ if ((clk2 == 32'd0)) begin
329
+ clk3 = ~clk3;
330
+ end
331
+
332
+ #4000
333
+
334
+ clk1 = 32'd1;
335
+
336
+ #10000
337
+
338
+ clk1 = 32'd0;
339
+
340
+ #3000
341
+
342
+ clk2 = ~clk2;
343
+
344
+ #3000
345
+
346
+ if ((clk2 == 32'd0)) begin
347
+ clk3 = ~clk3;
348
+ end
349
+
350
+ #4000
351
+
352
+ clk1 = 32'd1;
353
+
354
+ #10000
355
+
356
+ clk1 = 32'd0;
357
+
358
+ #3000
359
+
360
+ clk2 = ~clk2;
361
+
362
+ #3000
363
+
364
+ if ((clk2 == 32'd0)) begin
365
+ clk3 = ~clk3;
366
+ end
367
+
368
+ #4000
369
+
370
+ clk1 = 32'd1;
371
+
372
+ #10000
373
+
374
+ clk1 = 32'd0;
375
+
376
+ #3000
377
+
378
+ clk2 = ~clk2;
379
+
380
+ #3000
381
+
382
+ if ((clk2 == 32'd0)) begin
383
+ clk3 = ~clk3;
384
+ end
385
+
386
+ #4000
387
+
388
+ clk1 = 32'd1;
389
+
390
+ #10000
391
+
392
+ clk1 = 32'd0;
393
+
394
+ #3000
395
+
396
+ clk2 = ~clk2;
397
+
398
+ #3000
399
+
400
+ if ((clk2 == 32'd0)) begin
401
+ clk3 = ~clk3;
402
+ end
403
+
404
+ #4000
405
+
406
+ clk1 = 32'd1;
407
+
408
+ #10000
409
+
410
+ clk1 = 32'd0;
411
+
412
+ #3000
413
+
414
+ clk2 = ~clk2;
415
+
416
+ #3000
417
+
418
+ if ((clk2 == 32'd0)) begin
419
+ clk3 = ~clk3;
420
+ end
421
+
422
+ #4000
423
+
424
+ clk1 = 32'd1;
425
+
426
+ #10000
427
+
428
+ clk1 = 32'd0;
429
+
430
+ #3000
431
+
432
+ clk2 = ~clk2;
433
+
434
+ #3000
435
+
436
+ if ((clk2 == 32'd0)) begin
437
+ clk3 = ~clk3;
438
+ end
439
+
440
+ #4000
441
+
442
+ clk1 = 32'd1;
443
+
444
+ #10000
445
+
446
+ clk1 = 32'd0;
447
+
448
+ #3000
449
+
450
+ clk2 = ~clk2;
451
+
452
+ #3000
453
+
454
+ if ((clk2 == 32'd0)) begin
455
+ clk3 = ~clk3;
456
+ end
457
+
458
+ #4000
459
+
460
+ clk1 = 32'd1;
461
+
462
+ #10000
463
+
464
+ clk1 = 32'd0;
465
+
466
+ #3000
467
+
468
+ clk2 = ~clk2;
469
+
470
+ #3000
471
+
472
+ if ((clk2 == 32'd0)) begin
473
+ clk3 = ~clk3;
474
+ end
475
+
476
+ #4000
477
+
478
+ clk1 = 32'd1;
479
+
480
+ #10000
481
+
482
+ clk1 = 32'd0;
483
+
484
+ #3000
485
+
486
+ clk2 = ~clk2;
487
+
488
+ #3000
489
+
490
+ if ((clk2 == 32'd0)) begin
491
+ clk3 = ~clk3;
492
+ end
493
+
494
+ #4000
495
+
496
+ clk1 = 32'd1;
497
+
498
+ #10000
499
+
500
+ clk1 = 32'd0;
501
+
502
+ #3000
503
+
504
+ clk2 = ~clk2;
505
+
506
+ #3000
507
+
508
+ if ((clk2 == 32'd0)) begin
509
+ clk3 = ~clk3;
510
+ end
511
+
512
+ #4000
513
+
514
+ clk1 = 32'd1;
515
+
516
+ #10000
517
+
518
+ clk1 = 32'd0;
519
+
520
+ #3000
521
+
522
+ clk2 = ~clk2;
523
+
524
+ #3000
525
+
526
+ if ((clk2 == 32'd0)) begin
527
+ clk3 = ~clk3;
528
+ end
529
+
530
+ #4000
531
+
532
+ clk1 = 32'd1;
533
+
534
+ #10000
535
+
536
+ clk1 = 32'd0;
537
+
538
+ #3000
539
+
540
+ clk2 = ~clk2;
541
+
542
+ #3000
543
+
544
+ if ((clk2 == 32'd0)) begin
545
+ clk3 = ~clk3;
546
+ end
547
+
548
+ #4000
549
+
550
+ clk1 = 32'd1;
551
+
552
+ #10000
553
+
554
+ clk1 = 32'd0;
555
+
556
+ #3000
557
+
558
+ clk2 = ~clk2;
559
+
560
+ #3000
561
+
562
+ if ((clk2 == 32'd0)) begin
563
+ clk3 = ~clk3;
564
+ end
565
+
566
+ #4000
567
+
568
+ clk1 = 32'd1;
569
+
570
+ #10000
571
+
572
+ clk1 = 32'd0;
573
+
574
+ #3000
575
+
576
+ clk2 = ~clk2;
577
+
578
+ #3000
579
+
580
+ if ((clk2 == 32'd0)) begin
581
+ clk3 = ~clk3;
582
+ end
583
+
584
+ #4000
585
+
586
+ clk1 = 32'd1;
587
+
588
+ #10000
589
+
590
+ clk1 = 32'd0;
591
+
592
+ #3000
593
+
594
+ clk2 = ~clk2;
595
+
596
+ #3000
597
+
598
+ if ((clk2 == 32'd0)) begin
599
+ clk3 = ~clk3;
600
+ end
601
+
602
+ #4000
603
+
604
+ clk1 = 32'd1;
605
+
606
+ #10000
607
+
608
+ clk1 = 32'd0;
609
+
610
+ #3000
611
+
612
+ clk2 = ~clk2;
613
+
614
+ #3000
615
+
616
+ if ((clk2 == 32'd0)) begin
617
+ clk3 = ~clk3;
618
+ end
619
+
620
+ #4000
621
+
622
+ clk1 = 32'd1;
623
+
624
+ #10000
625
+
626
+ clk1 = 32'd0;
627
+
628
+ #3000
629
+
630
+ clk2 = ~clk2;
631
+
632
+ #3000
633
+
634
+ if ((clk2 == 32'd0)) begin
635
+ clk3 = ~clk3;
636
+ end
637
+
638
+ #4000
639
+
640
+ clk1 = 32'd1;
641
+
642
+ #10000
643
+
644
+ clk1 = 32'd0;
645
+
646
+ #3000
647
+
648
+ clk2 = ~clk2;
649
+
650
+ #3000
651
+
652
+ if ((clk2 == 32'd0)) begin
653
+ clk3 = ~clk3;
654
+ end
655
+
656
+ #4000
657
+
658
+ clk1 = 32'd1;
659
+
660
+ #10000
661
+
662
+ clk1 = 32'd0;
663
+
664
+ #3000
665
+
666
+ clk2 = ~clk2;
667
+
668
+ #3000
669
+
670
+ if ((clk2 == 32'd0)) begin
671
+ clk3 = ~clk3;
672
+ end
673
+
674
+ #4000
675
+
676
+ clk1 = 32'd1;
677
+
678
+ #10000
679
+
680
+ clk1 = 32'd0;
681
+
682
+ #3000
683
+
684
+ clk2 = ~clk2;
685
+
686
+ #3000
687
+
688
+ if ((clk2 == 32'd0)) begin
689
+ clk3 = ~clk3;
690
+ end
691
+
692
+ #4000
693
+
694
+ clk1 = 32'd1;
695
+
696
+ #10000
697
+
698
+ clk1 = 32'd0;
699
+
700
+ #3000
701
+
702
+ clk2 = ~clk2;
703
+
704
+ #3000
705
+
706
+ if ((clk2 == 32'd0)) begin
707
+ clk3 = ~clk3;
708
+ end
709
+
710
+ #4000
711
+
712
+ clk1 = 32'd1;
713
+
714
+ #10000
715
+
716
+ clk1 = 32'd0;
717
+
718
+ #3000
719
+
720
+ clk2 = ~clk2;
721
+
722
+ #3000
723
+
724
+ if ((clk2 == 32'd0)) begin
725
+ clk3 = ~clk3;
726
+ end
727
+
728
+ #4000
729
+
730
+ clk1 = 32'd1;
731
+
732
+ #10000
733
+
734
+ clk1 = 32'd0;
735
+
736
+ #3000
737
+
738
+ clk2 = ~clk2;
739
+
740
+ #3000
741
+
742
+ if ((clk2 == 32'd0)) begin
743
+ clk3 = ~clk3;
744
+ end
745
+
746
+ #4000
747
+
748
+ clk1 = 32'd1;
749
+
750
+ #10000
751
+
752
+ clk1 = 32'd0;
753
+
754
+ #3000
755
+
756
+ clk2 = ~clk2;
757
+
758
+ #3000
759
+
760
+ if ((clk2 == 32'd0)) begin
761
+ clk3 = ~clk3;
762
+ end
763
+
764
+ #4000
765
+
766
+ clk1 = 32'd1;
767
+
768
+ #10000
769
+
770
+ clk1 = 32'd0;
771
+
772
+ #3000
773
+
774
+ clk2 = ~clk2;
775
+
776
+ #3000
777
+
778
+ if ((clk2 == 32'd0)) begin
779
+ clk3 = ~clk3;
780
+ end
781
+
782
+ #4000
783
+
784
+ clk1 = 32'd1;
785
+
786
+ #10000
787
+
788
+ clk1 = 32'd0;
789
+
790
+ #3000
791
+
792
+ clk2 = ~clk2;
793
+
794
+ #3000
795
+
796
+ if ((clk2 == 32'd0)) begin
797
+ clk3 = ~clk3;
798
+ end
799
+
800
+ #4000
801
+
802
+ clk1 = 32'd1;
803
+
804
+ #10000
805
+
806
+ clk1 = 32'd0;
807
+
808
+ #3000
809
+
810
+ clk2 = ~clk2;
811
+
812
+ #3000
813
+
814
+ if ((clk2 == 32'd0)) begin
815
+ clk3 = ~clk3;
816
+ end
817
+
818
+ #4000
819
+
820
+ clk1 = 32'd1;
821
+
822
+ #10000
823
+
824
+ clk1 = 32'd0;
825
+
826
+ #3000
827
+
828
+ clk2 = ~clk2;
829
+
830
+ #3000
831
+
832
+ if ((clk2 == 32'd0)) begin
833
+ clk3 = ~clk3;
834
+ end
835
+
836
+ #4000
837
+
838
+ clk1 = 32'd1;
839
+
840
+ #10000
841
+
842
+ clk1 = 32'd0;
843
+
844
+ #3000
845
+
846
+ clk2 = ~clk2;
847
+
848
+ #3000
849
+
850
+ if ((clk2 == 32'd0)) begin
851
+ clk3 = ~clk3;
852
+ end
853
+
854
+ #4000
855
+
856
+ clk1 = 32'd1;
857
+
858
+ #10000
859
+
860
+ clk1 = 32'd0;
861
+
862
+ #3000
863
+
864
+ clk2 = ~clk2;
865
+
866
+ #3000
867
+
868
+ if ((clk2 == 32'd0)) begin
869
+ clk3 = ~clk3;
870
+ end
871
+
872
+ #4000
873
+
874
+ clk1 = 32'd1;
875
+
876
+ #10000
877
+
878
+ clk1 = 32'd0;
879
+
880
+ #3000
881
+
882
+ clk2 = ~clk2;
883
+
884
+ #3000
885
+
886
+ if ((clk2 == 32'd0)) begin
887
+ clk3 = ~clk3;
888
+ end
889
+
890
+ #4000
891
+
892
+ clk1 = 32'd1;
893
+
894
+ #10000
895
+
896
+ clk1 = 32'd0;
897
+
898
+ #3000
899
+
900
+ clk2 = ~clk2;
901
+
902
+ #3000
903
+
904
+ if ((clk2 == 32'd0)) begin
905
+ clk3 = ~clk3;
906
+ end
907
+
908
+ #4000
909
+
910
+ clk1 = 32'd1;
911
+
912
+ #10000
913
+
914
+ clk1 = 32'd0;
915
+
916
+ #3000
917
+
918
+ clk2 = ~clk2;
919
+
920
+ #3000
921
+
922
+ if ((clk2 == 32'd0)) begin
923
+ clk3 = ~clk3;
924
+ end
925
+
926
+ #4000
927
+
928
+ clk1 = 32'd1;
929
+
930
+ #10000
931
+
932
+ clk1 = 32'd0;
933
+
934
+ #3000
935
+
936
+ clk2 = ~clk2;
937
+
938
+ #3000
939
+
940
+ if ((clk2 == 32'd0)) begin
941
+ clk3 = ~clk3;
942
+ end
943
+
944
+ #4000
945
+
946
+ clk1 = 32'd1;
947
+
948
+ #10000
949
+
950
+ clk1 = 32'd0;
951
+
952
+ #3000
953
+
954
+ clk2 = ~clk2;
955
+
956
+ #3000
957
+
958
+ if ((clk2 == 32'd0)) begin
959
+ clk3 = ~clk3;
960
+ end
961
+
962
+ #4000
963
+
964
+ clk1 = 32'd1;
965
+
966
+ #10000
967
+
968
+ clk1 = 32'd0;
969
+
970
+ #3000
971
+
972
+ clk2 = ~clk2;
973
+
974
+ #3000
975
+
976
+ if ((clk2 == 32'd0)) begin
977
+ clk3 = ~clk3;
978
+ end
979
+
980
+ #4000
981
+
982
+ clk1 = 32'd1;
983
+
984
+ #10000
985
+
986
+ clk1 = 32'd0;
987
+
988
+ #3000
989
+
990
+ clk2 = ~clk2;
991
+
992
+ #3000
993
+
994
+ if ((clk2 == 32'd0)) begin
995
+ clk3 = ~clk3;
996
+ end
997
+
998
+ #4000
999
+
1000
+ clk1 = 32'd1;
1001
+
1002
+ #10000
1003
+
1004
+ clk1 = 32'd0;
1005
+
1006
+ #3000
1007
+
1008
+ clk2 = ~clk2;
1009
+
1010
+ #3000
1011
+
1012
+ if ((clk2 == 32'd0)) begin
1013
+ clk3 = ~clk3;
1014
+ end
1015
+
1016
+ #4000
1017
+
1018
+ clk1 = 32'd1;
1019
+
1020
+ #10000
1021
+
1022
+ clk1 = 32'd0;
1023
+
1024
+ #3000
1025
+
1026
+ clk2 = ~clk2;
1027
+
1028
+ #3000
1029
+
1030
+ if ((clk2 == 32'd0)) begin
1031
+ clk3 = ~clk3;
1032
+ end
1033
+
1034
+ #4000
1035
+
1036
+ clk1 = 32'd1;
1037
+
1038
+ #10000
1039
+
1040
+ clk1 = 32'd0;
1041
+
1042
+ #3000
1043
+
1044
+ clk2 = ~clk2;
1045
+
1046
+ #3000
1047
+
1048
+ if ((clk2 == 32'd0)) begin
1049
+ clk3 = ~clk3;
1050
+ end
1051
+
1052
+ #4000
1053
+
1054
+ clk1 = 32'd1;
1055
+
1056
+ #10000
1057
+
1058
+ clk1 = 32'd0;
1059
+
1060
+ #3000
1061
+
1062
+ clk2 = ~clk2;
1063
+
1064
+ #3000
1065
+
1066
+ if ((clk2 == 32'd0)) begin
1067
+ clk3 = ~clk3;
1068
+ end
1069
+
1070
+ #4000
1071
+
1072
+ clk1 = 32'd1;
1073
+
1074
+ #10000
1075
+
1076
+ clk1 = 32'd0;
1077
+
1078
+ #3000
1079
+
1080
+ clk2 = ~clk2;
1081
+
1082
+ #3000
1083
+
1084
+ if ((clk2 == 32'd0)) begin
1085
+ clk3 = ~clk3;
1086
+ end
1087
+
1088
+ #4000
1089
+
1090
+ clk1 = 32'd1;
1091
+
1092
+ #10000
1093
+
1094
+ clk1 = 32'd0;
1095
+
1096
+ #3000
1097
+
1098
+ clk2 = ~clk2;
1099
+
1100
+ #3000
1101
+
1102
+ if ((clk2 == 32'd0)) begin
1103
+ clk3 = ~clk3;
1104
+ end
1105
+
1106
+ #4000
1107
+
1108
+ clk1 = 32'd1;
1109
+
1110
+ #10000
1111
+
1112
+ clk1 = 32'd0;
1113
+
1114
+ #3000
1115
+
1116
+ clk2 = ~clk2;
1117
+
1118
+ #3000
1119
+
1120
+ if ((clk2 == 32'd0)) begin
1121
+ clk3 = ~clk3;
1122
+ end
1123
+
1124
+ #4000
1125
+
1126
+ clk1 = 32'd1;
1127
+
1128
+ #10000
1129
+
1130
+ clk1 = 32'd0;
1131
+
1132
+ #3000
1133
+
1134
+ clk2 = ~clk2;
1135
+
1136
+ #3000
1137
+
1138
+ if ((clk2 == 32'd0)) begin
1139
+ clk3 = ~clk3;
1140
+ end
1141
+
1142
+ #4000
1143
+
1144
+ clk1 = 32'd1;
1145
+
1146
+ #10000
1147
+
1148
+ clk1 = 32'd0;
1149
+
1150
+ #3000
1151
+
1152
+ clk2 = ~clk2;
1153
+
1154
+ #3000
1155
+
1156
+ if ((clk2 == 32'd0)) begin
1157
+ clk3 = ~clk3;
1158
+ end
1159
+
1160
+ #4000
1161
+
1162
+ clk1 = 32'd1;
1163
+
1164
+ #10000
1165
+
1166
+ clk1 = 32'd0;
1167
+
1168
+ #3000
1169
+
1170
+ clk2 = ~clk2;
1171
+
1172
+ #3000
1173
+
1174
+ if ((clk2 == 32'd0)) begin
1175
+ clk3 = ~clk3;
1176
+ end
1177
+
1178
+ #4000
1179
+
1180
+ clk1 = 32'd1;
1181
+
1182
+ #10000
1183
+
1184
+ clk1 = 32'd0;
1185
+
1186
+ #3000
1187
+
1188
+ clk2 = ~clk2;
1189
+
1190
+ #3000
1191
+
1192
+ if ((clk2 == 32'd0)) begin
1193
+ clk3 = ~clk3;
1194
+ end
1195
+
1196
+ #4000
1197
+
1198
+ clk1 = 32'd1;
1199
+
1200
+ #10000
1201
+
1202
+ clk1 = 32'd0;
1203
+
1204
+ #3000
1205
+
1206
+ clk2 = ~clk2;
1207
+
1208
+ #3000
1209
+
1210
+ if ((clk2 == 32'd0)) begin
1211
+ clk3 = ~clk3;
1212
+ end
1213
+
1214
+ #4000
1215
+
1216
+ clk1 = 32'd1;
1217
+
1218
+ #10000
1219
+
1220
+ clk1 = 32'd0;
1221
+
1222
+ #3000
1223
+
1224
+ clk2 = ~clk2;
1225
+
1226
+ #3000
1227
+
1228
+ if ((clk2 == 32'd0)) begin
1229
+ clk3 = ~clk3;
1230
+ end
1231
+
1232
+ #4000
1233
+
1234
+ clk1 = 32'd1;
1235
+
1236
+ #10000
1237
+
1238
+ clk1 = 32'd0;
1239
+
1240
+ #3000
1241
+
1242
+ clk2 = ~clk2;
1243
+
1244
+ #3000
1245
+
1246
+ if ((clk2 == 32'd0)) begin
1247
+ clk3 = ~clk3;
1248
+ end
1249
+
1250
+ #4000
1251
+
1252
+ clk1 = 32'd1;
1253
+
1254
+ #10000
1255
+
1256
+ clk1 = 32'd0;
1257
+
1258
+ #3000
1259
+
1260
+ clk2 = ~clk2;
1261
+
1262
+ #3000
1263
+
1264
+ if ((clk2 == 32'd0)) begin
1265
+ clk3 = ~clk3;
1266
+ end
1267
+
1268
+ #4000
1269
+
1270
+ clk1 = 32'd1;
1271
+
1272
+ #10000
1273
+
1274
+ clk1 = 32'd0;
1275
+
1276
+ #3000
1277
+
1278
+ clk2 = ~clk2;
1279
+
1280
+ #3000
1281
+
1282
+ if ((clk2 == 32'd0)) begin
1283
+ clk3 = ~clk3;
1284
+ end
1285
+
1286
+ #4000
1287
+
1288
+ clk1 = 32'd1;
1289
+
1290
+ #10000
1291
+
1292
+ clk1 = 32'd0;
1293
+
1294
+ #3000
1295
+
1296
+ clk2 = ~clk2;
1297
+
1298
+ #3000
1299
+
1300
+ if ((clk2 == 32'd0)) begin
1301
+ clk3 = ~clk3;
1302
+ end
1303
+
1304
+ #4000
1305
+
1306
+ end
1307
+
1308
+ always @( posedge clk2 ) begin
1309
+
1310
+ if (rst) begin
1311
+ my__ch_00003a0_00003a_00003arptr <= 32'd0;
1312
+ my__ch_00003a0_00003a_00003awptr <= 32'd0;
1313
+ end
1314
+ else begin
1315
+ if (~my__ch_00003a0_00003a_00003arsync) begin
1316
+ if (~my__ch_00003a0_00003a_00003arreq) begin
1317
+ my__ch_00003a0_00003a_00003arack <= 32'd0;
1318
+ end
1319
+ if (((my__ch_00003a0_00003a_00003arreq & ~my__ch_00003a0_00003a_00003arack) & (my__ch_00003a0_00003a_00003arptr != my__ch_00003a0_00003a_00003awptr))) begin
1320
+ my__ch_00003a0_00003a_00003ardata <= my__ch_00003a0_00003a_00003abuffer[my__ch_00003a0_00003a_00003arptr];
1321
+ my__ch_00003a0_00003a_00003arptr <= ((my__ch_00003a0_00003a_00003arptr + 32'd1) % 32'd3);
1322
+ my__ch_00003a0_00003a_00003arack <= 32'd1;
1323
+ end
1324
+ end
1325
+ if (~my__ch_00003a0_00003a_00003awsync) begin
1326
+ if (~my__ch_00003a0_00003a_00003awreq) begin
1327
+ my__ch_00003a0_00003a_00003awack <= 32'd0;
1328
+ end
1329
+ if (((my__ch_00003a0_00003a_00003awreq & ~my__ch_00003a0_00003a_00003awack) & (((my__ch_00003a0_00003a_00003awptr + 32'd1) % 32'd3) != my__ch_00003a0_00003a_00003arptr))) begin
1330
+ my__ch_00003a0_00003a_00003abuffer[my__ch_00003a0_00003a_00003awptr] <= my__ch_00003a0_00003a_00003awdata;
1331
+ my__ch_00003a0_00003a_00003awptr <= ((my__ch_00003a0_00003a_00003awptr + 32'd1) % 32'd3);
1332
+ my__ch_00003a0_00003a_00003awack <= 32'd1;
1333
+ end
1334
+ end
1335
+ end
1336
+
1337
+ end
1338
+
1339
+ endmodule