sun-form-v3 1.0.74 → 1.0.76

Sign up to get free protection for your applications and to get access to all the features.
Files changed (95) hide show
  1. package/dist/css.worker-Dwc4fr67.js +11 -0
  2. package/dist/editor.worker-D8X-wirG.js +11 -0
  3. package/dist/html.worker-BrYAwuEC.js +11 -0
  4. package/dist/{index-CiOfmn33.js → index-DFhw3AXw.js} +38774 -67074
  5. package/dist/{javascript-Z0cEzpmH.js → javascript-Cg8yvIbj.js} +1 -1
  6. package/dist/json.worker-CrHwZjY-.js +11 -0
  7. package/dist/style.css +1 -1
  8. package/dist/sun-form-v3.es.js +1 -1
  9. package/dist/sun-form-v3.umd.js +220 -1394
  10. package/dist/ts.worker-yDFI3o-d.js +11 -0
  11. package/dist/{tsMode-DzIar2vL.js → tsMode-DC3WlIAp.js} +1 -1
  12. package/dist/{typescript-DF0dUYS_.js → typescript-qB7JvgzD.js} +1 -1
  13. package/package.json +3 -1
  14. package/dist/abap-DfvySaIJ.js +0 -1404
  15. package/dist/apex-B__0irD3.js +0 -332
  16. package/dist/azcli-CDMGhRmx.js +0 -74
  17. package/dist/bat-0Mk8aqzx.js +0 -106
  18. package/dist/bicep-BBi_I06Z.js +0 -108
  19. package/dist/cameligo-D0G_Zm6X.js +0 -180
  20. package/dist/clojure-o2ZKLeMp.js +0 -767
  21. package/dist/coffee-DOQff5VC.js +0 -238
  22. package/dist/cpp-CQGJnWhD.js +0 -395
  23. package/dist/csharp-C1jLsHl8.js +0 -332
  24. package/dist/csp-C_ttMQaW.js +0 -59
  25. package/dist/css-BC202kVV.js +0 -193
  26. package/dist/cssMode-CYSIZcJF.js +0 -1541
  27. package/dist/cypher-DwtEH7Fi.js +0 -269
  28. package/dist/dart-D9XknsP2.js +0 -287
  29. package/dist/dockerfile-DgsNjqqa.js +0 -136
  30. package/dist/ecl-BAMCHBl6.js +0 -462
  31. package/dist/elixir-CegIttP8.js +0 -575
  32. package/dist/flow9-9608t7UV.js +0 -148
  33. package/dist/freemarker2-DELGq5a7.js +0 -995
  34. package/dist/fsharp-CCtt9-1_.js +0 -223
  35. package/dist/go-CGUIPbct.js +0 -224
  36. package/dist/graphql-BNRIFFIn.js +0 -157
  37. package/dist/handlebars-Cycz5sVT.js +0 -425
  38. package/dist/hcl-C__KLIXe.js +0 -189
  39. package/dist/html-pEgLIMYL.js +0 -314
  40. package/dist/htmlMode-wBX2qW_D.js +0 -1551
  41. package/dist/ini-CeKYn_zA.js +0 -77
  42. package/dist/java-Dm24deQl.js +0 -238
  43. package/dist/jsonMode-DOH1aqKa.js +0 -1957
  44. package/dist/julia-BImNW7VE.js +0 -517
  45. package/dist/kotlin-BfE79GEb.js +0 -259
  46. package/dist/less-DglNOcaC.js +0 -168
  47. package/dist/lexon-BA0dRz9Q.js +0 -163
  48. package/dist/liquid-DWwdGb03.js +0 -246
  49. package/dist/lua-DMkWzJcm.js +0 -168
  50. package/dist/m3-sA-5shO8.js +0 -216
  51. package/dist/markdown-OYRB1igA.js +0 -235
  52. package/dist/mdx-Of9EufjP.js +0 -171
  53. package/dist/mips-rRzkJ_7G.js +0 -204
  54. package/dist/msdax-DoVJdUhd.js +0 -381
  55. package/dist/mysql-Ty44IHXo.js +0 -884
  56. package/dist/objective-c-VUfyhYrA.js +0 -189
  57. package/dist/pascal-DkASiYyw.js +0 -257
  58. package/dist/pascaligo-p-ELPlVO.js +0 -170
  59. package/dist/perl-Cjl1FxLZ.js +0 -632
  60. package/dist/pgsql-DjVenF7s.js +0 -857
  61. package/dist/php-CwvGzZOO.js +0 -506
  62. package/dist/pla-Br1iaBIV.js +0 -143
  63. package/dist/postiats-BaeP8zZY.js +0 -913
  64. package/dist/powerquery-CLCshuo8.js +0 -896
  65. package/dist/powershell-CZV50w5N.js +0 -245
  66. package/dist/protobuf-MV3XTewJ.js +0 -426
  67. package/dist/pug-DkDg4c4l.js +0 -408
  68. package/dist/python-CaZLJpKQ.js +0 -282
  69. package/dist/qsharp-BAtiKA97.js +0 -291
  70. package/dist/r-8ocrd-h-.js +0 -249
  71. package/dist/razor-Dz07fRRv.js +0 -556
  72. package/dist/redis-9sZiddxc.js +0 -308
  73. package/dist/redshift-DQfTOGC0.js +0 -815
  74. package/dist/restructuredtext-DXU-vkgr.js +0 -180
  75. package/dist/ruby-DotfqwMf.js +0 -517
  76. package/dist/rust-BIauJ5KN.js +0 -349
  77. package/dist/sb-CmWMvDEV.js +0 -121
  78. package/dist/scala-C81brEbk.js +0 -376
  79. package/dist/scheme-D0pcFz2x.js +0 -114
  80. package/dist/scss-BOdN2fGG.js +0 -268
  81. package/dist/shell-6E09eAkU.js +0 -227
  82. package/dist/solidity-CWStzA1K.js +0 -1373
  83. package/dist/sophia-76Sb6CWe.js +0 -205
  84. package/dist/sparql-BmZTkOWT.js +0 -207
  85. package/dist/sql-Cs9TBAW5.js +0 -859
  86. package/dist/st-B-rqE1Bu.js +0 -422
  87. package/dist/swift-Btsj6YxQ.js +0 -318
  88. package/dist/systemverilog-B3RHBRa2.js +0 -582
  89. package/dist/tcl-Dpj776pn.js +0 -238
  90. package/dist/twig-Bb9JmBsE.js +0 -398
  91. package/dist/typespec-CDWeg3SU.js +0 -123
  92. package/dist/vb-DEXnYwEI.js +0 -378
  93. package/dist/wgsl-D602c1lx.js +0 -445
  94. package/dist/xml-D6-A-jMv.js +0 -101
  95. package/dist/yaml-OnbiU7Fq.js +0 -212
@@ -1,582 +0,0 @@
1
- /*!-----------------------------------------------------------------------------
2
- * Copyright (c) Microsoft Corporation. All rights reserved.
3
- * Version: 0.49.0(383fdf3fc0e1e1a024068b8d0fd4f3dcbae74d04)
4
- * Released under the MIT license
5
- * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
6
- *-----------------------------------------------------------------------------*/
7
- var e = {
8
- comments: {
9
- lineComment: "//",
10
- blockComment: ["/*", "*/"]
11
- },
12
- brackets: [
13
- ["{", "}"],
14
- ["[", "]"],
15
- ["(", ")"],
16
- ["begin", "end"],
17
- ["case", "endcase"],
18
- ["casex", "endcase"],
19
- ["casez", "endcase"],
20
- ["checker", "endchecker"],
21
- ["class", "endclass"],
22
- ["clocking", "endclocking"],
23
- ["config", "endconfig"],
24
- ["function", "endfunction"],
25
- ["generate", "endgenerate"],
26
- ["group", "endgroup"],
27
- ["interface", "endinterface"],
28
- ["module", "endmodule"],
29
- ["package", "endpackage"],
30
- ["primitive", "endprimitive"],
31
- ["program", "endprogram"],
32
- ["property", "endproperty"],
33
- ["specify", "endspecify"],
34
- ["sequence", "endsequence"],
35
- ["table", "endtable"],
36
- ["task", "endtask"]
37
- ],
38
- autoClosingPairs: [
39
- { open: "[", close: "]" },
40
- { open: "{", close: "}" },
41
- { open: "(", close: ")" },
42
- { open: "'", close: "'", notIn: ["string", "comment"] },
43
- { open: '"', close: '"', notIn: ["string"] }
44
- ],
45
- surroundingPairs: [
46
- { open: "{", close: "}" },
47
- { open: "[", close: "]" },
48
- { open: "(", close: ")" },
49
- { open: '"', close: '"' },
50
- { open: "'", close: "'" }
51
- ],
52
- folding: {
53
- offSide: !1,
54
- markers: {
55
- start: new RegExp(
56
- "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"
57
- ),
58
- end: new RegExp(
59
- "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b"
60
- )
61
- }
62
- }
63
- }, n = {
64
- defaultToken: "",
65
- tokenPostfix: ".sv",
66
- brackets: [
67
- { token: "delimiter.curly", open: "{", close: "}" },
68
- { token: "delimiter.parenthesis", open: "(", close: ")" },
69
- { token: "delimiter.square", open: "[", close: "]" },
70
- { token: "delimiter.angle", open: "<", close: ">" }
71
- ],
72
- keywords: [
73
- "accept_on",
74
- "alias",
75
- "always",
76
- "always_comb",
77
- "always_ff",
78
- "always_latch",
79
- "and",
80
- "assert",
81
- "assign",
82
- "assume",
83
- "automatic",
84
- "before",
85
- "begin",
86
- "bind",
87
- "bins",
88
- "binsof",
89
- "bit",
90
- "break",
91
- "buf",
92
- "bufif0",
93
- "bufif1",
94
- "byte",
95
- "case",
96
- "casex",
97
- "casez",
98
- "cell",
99
- "chandle",
100
- "checker",
101
- "class",
102
- "clocking",
103
- "cmos",
104
- "config",
105
- "const",
106
- "constraint",
107
- "context",
108
- "continue",
109
- "cover",
110
- "covergroup",
111
- "coverpoint",
112
- "cross",
113
- "deassign",
114
- "default",
115
- "defparam",
116
- "design",
117
- "disable",
118
- "dist",
119
- "do",
120
- "edge",
121
- "else",
122
- "end",
123
- "endcase",
124
- "endchecker",
125
- "endclass",
126
- "endclocking",
127
- "endconfig",
128
- "endfunction",
129
- "endgenerate",
130
- "endgroup",
131
- "endinterface",
132
- "endmodule",
133
- "endpackage",
134
- "endprimitive",
135
- "endprogram",
136
- "endproperty",
137
- "endspecify",
138
- "endsequence",
139
- "endtable",
140
- "endtask",
141
- "enum",
142
- "event",
143
- "eventually",
144
- "expect",
145
- "export",
146
- "extends",
147
- "extern",
148
- "final",
149
- "first_match",
150
- "for",
151
- "force",
152
- "foreach",
153
- "forever",
154
- "fork",
155
- "forkjoin",
156
- "function",
157
- "generate",
158
- "genvar",
159
- "global",
160
- "highz0",
161
- "highz1",
162
- "if",
163
- "iff",
164
- "ifnone",
165
- "ignore_bins",
166
- "illegal_bins",
167
- "implements",
168
- "implies",
169
- "import",
170
- "incdir",
171
- "include",
172
- "initial",
173
- "inout",
174
- "input",
175
- "inside",
176
- "instance",
177
- "int",
178
- "integer",
179
- "interconnect",
180
- "interface",
181
- "intersect",
182
- "join",
183
- "join_any",
184
- "join_none",
185
- "large",
186
- "let",
187
- "liblist",
188
- "library",
189
- "local",
190
- "localparam",
191
- "logic",
192
- "longint",
193
- "macromodule",
194
- "matches",
195
- "medium",
196
- "modport",
197
- "module",
198
- "nand",
199
- "negedge",
200
- "nettype",
201
- "new",
202
- "nexttime",
203
- "nmos",
204
- "nor",
205
- "noshowcancelled",
206
- "not",
207
- "notif0",
208
- "notif1",
209
- "null",
210
- "or",
211
- "output",
212
- "package",
213
- "packed",
214
- "parameter",
215
- "pmos",
216
- "posedge",
217
- "primitive",
218
- "priority",
219
- "program",
220
- "property",
221
- "protected",
222
- "pull0",
223
- "pull1",
224
- "pulldown",
225
- "pullup",
226
- "pulsestyle_ondetect",
227
- "pulsestyle_onevent",
228
- "pure",
229
- "rand",
230
- "randc",
231
- "randcase",
232
- "randsequence",
233
- "rcmos",
234
- "real",
235
- "realtime",
236
- "ref",
237
- "reg",
238
- "reject_on",
239
- "release",
240
- "repeat",
241
- "restrict",
242
- "return",
243
- "rnmos",
244
- "rpmos",
245
- "rtran",
246
- "rtranif0",
247
- "rtranif1",
248
- "s_always",
249
- "s_eventually",
250
- "s_nexttime",
251
- "s_until",
252
- "s_until_with",
253
- "scalared",
254
- "sequence",
255
- "shortint",
256
- "shortreal",
257
- "showcancelled",
258
- "signed",
259
- "small",
260
- "soft",
261
- "solve",
262
- "specify",
263
- "specparam",
264
- "static",
265
- "string",
266
- "strong",
267
- "strong0",
268
- "strong1",
269
- "struct",
270
- "super",
271
- "supply0",
272
- "supply1",
273
- "sync_accept_on",
274
- "sync_reject_on",
275
- "table",
276
- "tagged",
277
- "task",
278
- "this",
279
- "throughout",
280
- "time",
281
- "timeprecision",
282
- "timeunit",
283
- "tran",
284
- "tranif0",
285
- "tranif1",
286
- "tri",
287
- "tri0",
288
- "tri1",
289
- "triand",
290
- "trior",
291
- "trireg",
292
- "type",
293
- "typedef",
294
- "union",
295
- "unique",
296
- "unique0",
297
- "unsigned",
298
- "until",
299
- "until_with",
300
- "untyped",
301
- "use",
302
- "uwire",
303
- "var",
304
- "vectored",
305
- "virtual",
306
- "void",
307
- "wait",
308
- "wait_order",
309
- "wand",
310
- "weak",
311
- "weak0",
312
- "weak1",
313
- "while",
314
- "wildcard",
315
- "wire",
316
- "with",
317
- "within",
318
- "wor",
319
- "xnor",
320
- "xor"
321
- ],
322
- builtin_gates: [
323
- "and",
324
- "nand",
325
- "nor",
326
- "or",
327
- "xor",
328
- "xnor",
329
- "buf",
330
- "not",
331
- "bufif0",
332
- "bufif1",
333
- "notif1",
334
- "notif0",
335
- "cmos",
336
- "nmos",
337
- "pmos",
338
- "rcmos",
339
- "rnmos",
340
- "rpmos",
341
- "tran",
342
- "tranif1",
343
- "tranif0",
344
- "rtran",
345
- "rtranif1",
346
- "rtranif0"
347
- ],
348
- operators: [
349
- // assignment operators
350
- "=",
351
- "+=",
352
- "-=",
353
- "*=",
354
- "/=",
355
- "%=",
356
- "&=",
357
- "|=",
358
- "^=",
359
- "<<=",
360
- ">>+",
361
- "<<<=",
362
- ">>>=",
363
- // conditional expression
364
- "?",
365
- ":",
366
- // Unary operators
367
- "+",
368
- "-",
369
- "!",
370
- "~",
371
- "&",
372
- "~&",
373
- "|",
374
- "~|",
375
- "^",
376
- "~^",
377
- "^~",
378
- //binary operators
379
- "+",
380
- "-",
381
- "*",
382
- "/",
383
- "%",
384
- "==",
385
- "!=",
386
- "===",
387
- "!==",
388
- "==?",
389
- "!=?",
390
- "&&",
391
- "||",
392
- "**",
393
- "<",
394
- "<=",
395
- ">",
396
- ">=",
397
- "&",
398
- "|",
399
- "^",
400
- ">>",
401
- "<<",
402
- ">>>",
403
- "<<<",
404
- // increment or decrement operator
405
- "++",
406
- "--",
407
- //binary logical operator
408
- "->",
409
- "<->",
410
- // binary set membership operator
411
- "inside",
412
- // binary distrubution operator
413
- "dist",
414
- "::",
415
- "+:",
416
- "-:",
417
- "*>",
418
- "&&&",
419
- "|->",
420
- "|=>",
421
- "#=#"
422
- ],
423
- // we include these common regular expressions
424
- symbols: /[=><!~?:&|+\-*\/\^%#]+/,
425
- escapes: /%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,
426
- identifier: /(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,
427
- systemcall: /[$][a-zA-Z0-9_]+/,
428
- timeunits: /s|ms|us|ns|ps|fs/,
429
- // The main tokenizer for our languages
430
- tokenizer: {
431
- root: [
432
- // module instances
433
- [
434
- /^(\s*)(@identifier)/,
435
- [
436
- "",
437
- {
438
- cases: {
439
- "@builtin_gates": {
440
- token: "keyword.$2",
441
- next: "@module_instance"
442
- },
443
- table: {
444
- token: "keyword.$2",
445
- next: "@table"
446
- },
447
- "@keywords": { token: "keyword.$2" },
448
- "@default": {
449
- token: "identifier",
450
- next: "@module_instance"
451
- }
452
- }
453
- }
454
- ]
455
- ],
456
- // include statements
457
- [/^\s*`include/, { token: "keyword.directive.include", next: "@include" }],
458
- // Preprocessor directives
459
- [/^\s*`\s*\w+/, "keyword"],
460
- // identifiers and keywords
461
- { include: "@identifier_or_keyword" },
462
- // whitespace and comments
463
- { include: "@whitespace" },
464
- // (* attributes *).
465
- [/\(\*.*\*\)/, "annotation"],
466
- // Systemcall
467
- [/@systemcall/, "variable.predefined"],
468
- // delimiters and operators
469
- [/[{}()\[\]]/, "@brackets"],
470
- [/[<>](?!@symbols)/, "@brackets"],
471
- [
472
- /@symbols/,
473
- {
474
- cases: {
475
- "@operators": "delimiter",
476
- "@default": ""
477
- }
478
- }
479
- ],
480
- // numbers
481
- { include: "@numbers" },
482
- // delimiter: after number because of .\d floats
483
- [/[;,.]/, "delimiter"],
484
- // strings
485
- { include: "@strings" }
486
- ],
487
- identifier_or_keyword: [
488
- [
489
- /@identifier/,
490
- {
491
- cases: {
492
- "@keywords": { token: "keyword.$0" },
493
- "@default": "identifier"
494
- }
495
- }
496
- ]
497
- ],
498
- numbers: [
499
- [/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/, "number.float"],
500
- [/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/, "number.float"],
501
- [/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/, "number"],
502
- [/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/, "number.binary"],
503
- [/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/, "number.octal"],
504
- [/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/, "number.hex"],
505
- [/1step/, "number"],
506
- [/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/, "number"],
507
- [/'[01xXzZ]+/, "number"]
508
- ],
509
- module_instance: [
510
- { include: "@whitespace" },
511
- [/(#?)(\()/, ["", { token: "@brackets", next: "@port_connection" }]],
512
- [/@identifier\s*[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
513
- [/@symbols|[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
514
- [/@identifier/, "type"],
515
- [/;/, "delimiter", "@pop"]
516
- ],
517
- port_connection: [
518
- { include: "@identifier_or_keyword" },
519
- { include: "@whitespace" },
520
- [/@systemcall/, "variable.predefined"],
521
- { include: "@numbers" },
522
- { include: "@strings" },
523
- [/[,]/, "delimiter"],
524
- [/\(/, "@brackets", "@port_connection"],
525
- [/\)/, "@brackets", "@pop"]
526
- ],
527
- whitespace: [
528
- [/[ \t\r\n]+/, ""],
529
- [/\/\*/, "comment", "@comment"],
530
- [/\/\/.*$/, "comment"]
531
- ],
532
- comment: [
533
- [/[^\/*]+/, "comment"],
534
- [/\*\//, "comment", "@pop"],
535
- [/[\/*]/, "comment"]
536
- ],
537
- strings: [
538
- [/"([^"\\]|\\.)*$/, "string.invalid"],
539
- // non-teminated string
540
- [/"/, "string", "@string"]
541
- ],
542
- string: [
543
- [/[^\\"]+/, "string"],
544
- [/@escapes/, "string.escape"],
545
- [/\\./, "string.escape.invalid"],
546
- [/"/, "string", "@pop"]
547
- ],
548
- include: [
549
- [
550
- /(\s*)(")([\w*\/*]*)(.\w*)(")/,
551
- [
552
- "",
553
- "string.include.identifier",
554
- "string.include.identifier",
555
- "string.include.identifier",
556
- { token: "string.include.identifier", next: "@pop" }
557
- ]
558
- ],
559
- [
560
- /(\s*)(<)([\w*\/*]*)(.\w*)(>)/,
561
- [
562
- "",
563
- "string.include.identifier",
564
- "string.include.identifier",
565
- "string.include.identifier",
566
- { token: "string.include.identifier", next: "@pop" }
567
- ]
568
- ]
569
- ],
570
- table: [
571
- { include: "@whitespace" },
572
- [/[()]/, "@brackets"],
573
- [/[:;]/, "delimiter"],
574
- [/[01\-*?xXbBrRfFpPnN]/, "variable.predefined"],
575
- ["endtable", "keyword.endtable", "@pop"]
576
- ]
577
- }
578
- };
579
- export {
580
- e as conf,
581
- n as language
582
- };