sun-form-v3 1.0.71 → 1.0.72

Sign up to get free protection for your applications and to get access to all the features.
Files changed (155) hide show
  1. package/dist/abap-DfvySaIJ.js +1404 -0
  2. package/dist/apex-B__0irD3.js +332 -0
  3. package/dist/assets/css.worker-OK053IOu.js +84 -0
  4. package/dist/assets/editor.worker-D9iUZyMP.js +11 -0
  5. package/dist/assets/html.worker-CL40hqKM.js +458 -0
  6. package/dist/assets/json.worker-6f9bhSHY.js +42 -0
  7. package/dist/assets/ts.worker-B6g3wjaE.js +37021 -0
  8. package/dist/azcli-CDMGhRmx.js +74 -0
  9. package/dist/bat-0Mk8aqzx.js +106 -0
  10. package/dist/bicep-BBi_I06Z.js +108 -0
  11. package/dist/cameligo-D0G_Zm6X.js +180 -0
  12. package/dist/clojure-o2ZKLeMp.js +767 -0
  13. package/dist/coffee-DOQff5VC.js +238 -0
  14. package/dist/cpp-CQGJnWhD.js +395 -0
  15. package/dist/csharp-C1jLsHl8.js +332 -0
  16. package/dist/csp-C_ttMQaW.js +59 -0
  17. package/dist/css-BC202kVV.js +193 -0
  18. package/dist/cssMode-D3INtz5D.js +1541 -0
  19. package/dist/cypher-DwtEH7Fi.js +269 -0
  20. package/dist/dart-D9XknsP2.js +287 -0
  21. package/dist/dockerfile-DgsNjqqa.js +136 -0
  22. package/dist/ecl-BAMCHBl6.js +462 -0
  23. package/dist/elixir-CegIttP8.js +575 -0
  24. package/dist/favicon.ico +0 -0
  25. package/dist/flow9-9608t7UV.js +148 -0
  26. package/dist/freemarker2-hasIzj0A.js +995 -0
  27. package/dist/fsharp-CCtt9-1_.js +223 -0
  28. package/dist/go-CGUIPbct.js +224 -0
  29. package/dist/graphql-BNRIFFIn.js +157 -0
  30. package/dist/handlebars-Cqza9dif.js +425 -0
  31. package/dist/hcl-C__KLIXe.js +189 -0
  32. package/dist/html-QpDlLWtS.js +314 -0
  33. package/dist/htmlMode-3p93KT8l.js +1551 -0
  34. package/dist/index2-jkDcibEo.js +120443 -0
  35. package/dist/ini-CeKYn_zA.js +77 -0
  36. package/dist/java-Dm24deQl.js +238 -0
  37. package/dist/javascript-CSPB5Brm.js +76 -0
  38. package/dist/jsonMode-qA6pURD0.js +1957 -0
  39. package/dist/julia-BImNW7VE.js +517 -0
  40. package/dist/kotlin-BfE79GEb.js +259 -0
  41. package/dist/less-DglNOcaC.js +168 -0
  42. package/dist/lexon-BA0dRz9Q.js +163 -0
  43. package/dist/liquid-BWuASSim.js +246 -0
  44. package/dist/lua-DMkWzJcm.js +168 -0
  45. package/dist/m3-sA-5shO8.js +216 -0
  46. package/dist/markdown-OYRB1igA.js +235 -0
  47. package/dist/mdx-CnHJXO9F.js +171 -0
  48. package/dist/mips-rRzkJ_7G.js +204 -0
  49. package/dist/msdax-DoVJdUhd.js +381 -0
  50. package/dist/mysql-Ty44IHXo.js +884 -0
  51. package/dist/objective-c-VUfyhYrA.js +189 -0
  52. package/dist/pascal-DkASiYyw.js +257 -0
  53. package/dist/pascaligo-p-ELPlVO.js +170 -0
  54. package/dist/perl-Cjl1FxLZ.js +632 -0
  55. package/dist/pgsql-DjVenF7s.js +857 -0
  56. package/dist/php-CwvGzZOO.js +506 -0
  57. package/dist/pla-Br1iaBIV.js +143 -0
  58. package/dist/postiats-BaeP8zZY.js +913 -0
  59. package/dist/powerquery-CLCshuo8.js +896 -0
  60. package/dist/powershell-CZV50w5N.js +245 -0
  61. package/dist/protobuf-MV3XTewJ.js +426 -0
  62. package/dist/pug-DkDg4c4l.js +408 -0
  63. package/dist/python-CRYsEVap.js +282 -0
  64. package/dist/qsharp-BAtiKA97.js +291 -0
  65. package/dist/r-8ocrd-h-.js +249 -0
  66. package/dist/razor-DyEtGntt.js +556 -0
  67. package/dist/redis-9sZiddxc.js +308 -0
  68. package/dist/redshift-DQfTOGC0.js +815 -0
  69. package/dist/restructuredtext-DXU-vkgr.js +180 -0
  70. package/dist/ruby-DotfqwMf.js +517 -0
  71. package/dist/rust-BIauJ5KN.js +349 -0
  72. package/dist/sb-CmWMvDEV.js +121 -0
  73. package/dist/scala-C81brEbk.js +376 -0
  74. package/dist/scheme-D0pcFz2x.js +114 -0
  75. package/dist/scss-BOdN2fGG.js +268 -0
  76. package/dist/shell-6E09eAkU.js +227 -0
  77. package/dist/solidity-CWStzA1K.js +1373 -0
  78. package/dist/sophia-76Sb6CWe.js +205 -0
  79. package/dist/sparql-BmZTkOWT.js +207 -0
  80. package/dist/sql-Cs9TBAW5.js +859 -0
  81. package/dist/st-B-rqE1Bu.js +422 -0
  82. package/dist/style.css +1 -0
  83. package/dist/sun-form-v3.es.js +4 -0
  84. package/dist/sun-form-v3.umd.js +1902 -0
  85. package/dist/swift-Btsj6YxQ.js +318 -0
  86. package/dist/systemverilog-B3RHBRa2.js +582 -0
  87. package/dist/tcl-Dpj776pn.js +238 -0
  88. package/dist/tsMode-BrlIPEQw.js +885 -0
  89. package/dist/twig-Bb9JmBsE.js +398 -0
  90. package/dist/typescript-CYTudnTM.js +349 -0
  91. package/dist/typespec-CDWeg3SU.js +123 -0
  92. package/dist/vb-DEXnYwEI.js +378 -0
  93. package/dist/wgsl-D602c1lx.js +445 -0
  94. package/dist/xml-B-x5164u.js +101 -0
  95. package/dist/yaml-Buq2hM65.js +212 -0
  96. package/package.json +7 -10
  97. package/src/App.vue +0 -9
  98. package/src/assets/font/YouSheBiaoTiHei-2.ttf +0 -0
  99. package/src/assets/font/demo.css +0 -539
  100. package/src/assets/font/demo_index.html +0 -1200
  101. package/src/assets/font/iconfont.css +0 -191
  102. package/src/assets/font/iconfont.js +0 -1
  103. package/src/assets/font/iconfont.json +0 -317
  104. package/src/assets/font/iconfont.ttf +0 -0
  105. package/src/assets/font/iconfont.woff +0 -0
  106. package/src/assets/font/iconfont.woff2 +0 -0
  107. package/src/assets/img/bg_footer.png +0 -0
  108. package/src/assets/img/bg_title.png +0 -0
  109. package/src/assets/img/file.png +0 -0
  110. package/src/assets/img/logo.png +0 -0
  111. package/src/assets/img/logo2.png +0 -0
  112. package/src/assets/img/logo22.png +0 -0
  113. package/src/assets/img/logo23.png +0 -0
  114. package/src/assets/img/logo3.png +0 -0
  115. package/src/assets/img//347/237/251/345/275/242@2x.png +0 -0
  116. package/src/assets/logo.svg +0 -1
  117. package/src/assets/styles/element/index.scss +0 -15
  118. package/src/assets/styles/index.css +0 -3
  119. package/src/components/a.js +0 -14
  120. package/src/components/aDesigner/config.vue +0 -127
  121. package/src/components/aDesigner/design.vue +0 -20
  122. package/src/components/aDesigner/index.vue +0 -144
  123. package/src/components/aDesigner/panel.vue +0 -144
  124. package/src/components/aDesigner/show.vue +0 -24
  125. package/src/components/widgetCommonComps/commonApiConfig/index.vue +0 -194
  126. package/src/components/widgetCommonComps/commonCard/index.vue +0 -36
  127. package/src/components/widgetCommonComps/commonCodeEditor/editor.vue +0 -219
  128. package/src/components/widgetCommonComps/commonCodeEditor/modalEditor.vue +0 -68
  129. package/src/components/widgetCommonComps/commonCollapseCard/index.vue +0 -10
  130. package/src/components/widgetCommonComps/commonContainer/index.vue +0 -95
  131. package/src/components/widgetCommonComps/commonJsonInput/index.vue +0 -59
  132. package/src/components/widgetCommonComps/commonLabelContainer/index.vue +0 -39
  133. package/src/components/widgetCommonComps/commonSidetree/index.vue +0 -61
  134. package/src/components/widgetCommonComps/commonTypeInput/index.vue +0 -75
  135. package/src/components/widgetCommonComps/commonWidgetLabelContainer/index.vue +0 -55
  136. package/src/components/widgetCommonComps/index copy.js +0 -17
  137. package/src/components/widgetCommonComps/index.js +0 -17
  138. package/src/components/widgetConfigComps/config-input/index.vue +0 -60
  139. package/src/components/widgetConfigComps/index copy.js +0 -13
  140. package/src/components/widgetConfigComps/index.js +0 -17
  141. package/src/components/widgetShowComps/index.js +0 -29
  142. package/src/components/widgetShowComps/widget-form/index.vue +0 -43
  143. package/src/components/widgetShowComps/widget-homepage/index.vue +0 -41
  144. package/src/components/widgetShowComps/widget-input/index.vue +0 -85
  145. package/src/components/widgetShowComps/widget-select/index.vue +0 -31
  146. package/src/designer.js +0 -342
  147. package/src/http.js +0 -293
  148. package/src/index.js +0 -24
  149. package/src/index2.js +0 -23
  150. package/src/main.js +0 -19
  151. package/src/remark.js +0 -708
  152. package/src/testBtn.vue +0 -11
  153. package/src/testInput.vue +0 -12
  154. package/src/util/jsformat.js +0 -568
  155. package/src/widgetConfig.js +0 -1112
@@ -0,0 +1,582 @@
1
+ /*!-----------------------------------------------------------------------------
2
+ * Copyright (c) Microsoft Corporation. All rights reserved.
3
+ * Version: 0.49.0(383fdf3fc0e1e1a024068b8d0fd4f3dcbae74d04)
4
+ * Released under the MIT license
5
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
6
+ *-----------------------------------------------------------------------------*/
7
+ var e = {
8
+ comments: {
9
+ lineComment: "//",
10
+ blockComment: ["/*", "*/"]
11
+ },
12
+ brackets: [
13
+ ["{", "}"],
14
+ ["[", "]"],
15
+ ["(", ")"],
16
+ ["begin", "end"],
17
+ ["case", "endcase"],
18
+ ["casex", "endcase"],
19
+ ["casez", "endcase"],
20
+ ["checker", "endchecker"],
21
+ ["class", "endclass"],
22
+ ["clocking", "endclocking"],
23
+ ["config", "endconfig"],
24
+ ["function", "endfunction"],
25
+ ["generate", "endgenerate"],
26
+ ["group", "endgroup"],
27
+ ["interface", "endinterface"],
28
+ ["module", "endmodule"],
29
+ ["package", "endpackage"],
30
+ ["primitive", "endprimitive"],
31
+ ["program", "endprogram"],
32
+ ["property", "endproperty"],
33
+ ["specify", "endspecify"],
34
+ ["sequence", "endsequence"],
35
+ ["table", "endtable"],
36
+ ["task", "endtask"]
37
+ ],
38
+ autoClosingPairs: [
39
+ { open: "[", close: "]" },
40
+ { open: "{", close: "}" },
41
+ { open: "(", close: ")" },
42
+ { open: "'", close: "'", notIn: ["string", "comment"] },
43
+ { open: '"', close: '"', notIn: ["string"] }
44
+ ],
45
+ surroundingPairs: [
46
+ { open: "{", close: "}" },
47
+ { open: "[", close: "]" },
48
+ { open: "(", close: ")" },
49
+ { open: '"', close: '"' },
50
+ { open: "'", close: "'" }
51
+ ],
52
+ folding: {
53
+ offSide: !1,
54
+ markers: {
55
+ start: new RegExp(
56
+ "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"
57
+ ),
58
+ end: new RegExp(
59
+ "^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b"
60
+ )
61
+ }
62
+ }
63
+ }, n = {
64
+ defaultToken: "",
65
+ tokenPostfix: ".sv",
66
+ brackets: [
67
+ { token: "delimiter.curly", open: "{", close: "}" },
68
+ { token: "delimiter.parenthesis", open: "(", close: ")" },
69
+ { token: "delimiter.square", open: "[", close: "]" },
70
+ { token: "delimiter.angle", open: "<", close: ">" }
71
+ ],
72
+ keywords: [
73
+ "accept_on",
74
+ "alias",
75
+ "always",
76
+ "always_comb",
77
+ "always_ff",
78
+ "always_latch",
79
+ "and",
80
+ "assert",
81
+ "assign",
82
+ "assume",
83
+ "automatic",
84
+ "before",
85
+ "begin",
86
+ "bind",
87
+ "bins",
88
+ "binsof",
89
+ "bit",
90
+ "break",
91
+ "buf",
92
+ "bufif0",
93
+ "bufif1",
94
+ "byte",
95
+ "case",
96
+ "casex",
97
+ "casez",
98
+ "cell",
99
+ "chandle",
100
+ "checker",
101
+ "class",
102
+ "clocking",
103
+ "cmos",
104
+ "config",
105
+ "const",
106
+ "constraint",
107
+ "context",
108
+ "continue",
109
+ "cover",
110
+ "covergroup",
111
+ "coverpoint",
112
+ "cross",
113
+ "deassign",
114
+ "default",
115
+ "defparam",
116
+ "design",
117
+ "disable",
118
+ "dist",
119
+ "do",
120
+ "edge",
121
+ "else",
122
+ "end",
123
+ "endcase",
124
+ "endchecker",
125
+ "endclass",
126
+ "endclocking",
127
+ "endconfig",
128
+ "endfunction",
129
+ "endgenerate",
130
+ "endgroup",
131
+ "endinterface",
132
+ "endmodule",
133
+ "endpackage",
134
+ "endprimitive",
135
+ "endprogram",
136
+ "endproperty",
137
+ "endspecify",
138
+ "endsequence",
139
+ "endtable",
140
+ "endtask",
141
+ "enum",
142
+ "event",
143
+ "eventually",
144
+ "expect",
145
+ "export",
146
+ "extends",
147
+ "extern",
148
+ "final",
149
+ "first_match",
150
+ "for",
151
+ "force",
152
+ "foreach",
153
+ "forever",
154
+ "fork",
155
+ "forkjoin",
156
+ "function",
157
+ "generate",
158
+ "genvar",
159
+ "global",
160
+ "highz0",
161
+ "highz1",
162
+ "if",
163
+ "iff",
164
+ "ifnone",
165
+ "ignore_bins",
166
+ "illegal_bins",
167
+ "implements",
168
+ "implies",
169
+ "import",
170
+ "incdir",
171
+ "include",
172
+ "initial",
173
+ "inout",
174
+ "input",
175
+ "inside",
176
+ "instance",
177
+ "int",
178
+ "integer",
179
+ "interconnect",
180
+ "interface",
181
+ "intersect",
182
+ "join",
183
+ "join_any",
184
+ "join_none",
185
+ "large",
186
+ "let",
187
+ "liblist",
188
+ "library",
189
+ "local",
190
+ "localparam",
191
+ "logic",
192
+ "longint",
193
+ "macromodule",
194
+ "matches",
195
+ "medium",
196
+ "modport",
197
+ "module",
198
+ "nand",
199
+ "negedge",
200
+ "nettype",
201
+ "new",
202
+ "nexttime",
203
+ "nmos",
204
+ "nor",
205
+ "noshowcancelled",
206
+ "not",
207
+ "notif0",
208
+ "notif1",
209
+ "null",
210
+ "or",
211
+ "output",
212
+ "package",
213
+ "packed",
214
+ "parameter",
215
+ "pmos",
216
+ "posedge",
217
+ "primitive",
218
+ "priority",
219
+ "program",
220
+ "property",
221
+ "protected",
222
+ "pull0",
223
+ "pull1",
224
+ "pulldown",
225
+ "pullup",
226
+ "pulsestyle_ondetect",
227
+ "pulsestyle_onevent",
228
+ "pure",
229
+ "rand",
230
+ "randc",
231
+ "randcase",
232
+ "randsequence",
233
+ "rcmos",
234
+ "real",
235
+ "realtime",
236
+ "ref",
237
+ "reg",
238
+ "reject_on",
239
+ "release",
240
+ "repeat",
241
+ "restrict",
242
+ "return",
243
+ "rnmos",
244
+ "rpmos",
245
+ "rtran",
246
+ "rtranif0",
247
+ "rtranif1",
248
+ "s_always",
249
+ "s_eventually",
250
+ "s_nexttime",
251
+ "s_until",
252
+ "s_until_with",
253
+ "scalared",
254
+ "sequence",
255
+ "shortint",
256
+ "shortreal",
257
+ "showcancelled",
258
+ "signed",
259
+ "small",
260
+ "soft",
261
+ "solve",
262
+ "specify",
263
+ "specparam",
264
+ "static",
265
+ "string",
266
+ "strong",
267
+ "strong0",
268
+ "strong1",
269
+ "struct",
270
+ "super",
271
+ "supply0",
272
+ "supply1",
273
+ "sync_accept_on",
274
+ "sync_reject_on",
275
+ "table",
276
+ "tagged",
277
+ "task",
278
+ "this",
279
+ "throughout",
280
+ "time",
281
+ "timeprecision",
282
+ "timeunit",
283
+ "tran",
284
+ "tranif0",
285
+ "tranif1",
286
+ "tri",
287
+ "tri0",
288
+ "tri1",
289
+ "triand",
290
+ "trior",
291
+ "trireg",
292
+ "type",
293
+ "typedef",
294
+ "union",
295
+ "unique",
296
+ "unique0",
297
+ "unsigned",
298
+ "until",
299
+ "until_with",
300
+ "untyped",
301
+ "use",
302
+ "uwire",
303
+ "var",
304
+ "vectored",
305
+ "virtual",
306
+ "void",
307
+ "wait",
308
+ "wait_order",
309
+ "wand",
310
+ "weak",
311
+ "weak0",
312
+ "weak1",
313
+ "while",
314
+ "wildcard",
315
+ "wire",
316
+ "with",
317
+ "within",
318
+ "wor",
319
+ "xnor",
320
+ "xor"
321
+ ],
322
+ builtin_gates: [
323
+ "and",
324
+ "nand",
325
+ "nor",
326
+ "or",
327
+ "xor",
328
+ "xnor",
329
+ "buf",
330
+ "not",
331
+ "bufif0",
332
+ "bufif1",
333
+ "notif1",
334
+ "notif0",
335
+ "cmos",
336
+ "nmos",
337
+ "pmos",
338
+ "rcmos",
339
+ "rnmos",
340
+ "rpmos",
341
+ "tran",
342
+ "tranif1",
343
+ "tranif0",
344
+ "rtran",
345
+ "rtranif1",
346
+ "rtranif0"
347
+ ],
348
+ operators: [
349
+ // assignment operators
350
+ "=",
351
+ "+=",
352
+ "-=",
353
+ "*=",
354
+ "/=",
355
+ "%=",
356
+ "&=",
357
+ "|=",
358
+ "^=",
359
+ "<<=",
360
+ ">>+",
361
+ "<<<=",
362
+ ">>>=",
363
+ // conditional expression
364
+ "?",
365
+ ":",
366
+ // Unary operators
367
+ "+",
368
+ "-",
369
+ "!",
370
+ "~",
371
+ "&",
372
+ "~&",
373
+ "|",
374
+ "~|",
375
+ "^",
376
+ "~^",
377
+ "^~",
378
+ //binary operators
379
+ "+",
380
+ "-",
381
+ "*",
382
+ "/",
383
+ "%",
384
+ "==",
385
+ "!=",
386
+ "===",
387
+ "!==",
388
+ "==?",
389
+ "!=?",
390
+ "&&",
391
+ "||",
392
+ "**",
393
+ "<",
394
+ "<=",
395
+ ">",
396
+ ">=",
397
+ "&",
398
+ "|",
399
+ "^",
400
+ ">>",
401
+ "<<",
402
+ ">>>",
403
+ "<<<",
404
+ // increment or decrement operator
405
+ "++",
406
+ "--",
407
+ //binary logical operator
408
+ "->",
409
+ "<->",
410
+ // binary set membership operator
411
+ "inside",
412
+ // binary distrubution operator
413
+ "dist",
414
+ "::",
415
+ "+:",
416
+ "-:",
417
+ "*>",
418
+ "&&&",
419
+ "|->",
420
+ "|=>",
421
+ "#=#"
422
+ ],
423
+ // we include these common regular expressions
424
+ symbols: /[=><!~?:&|+\-*\/\^%#]+/,
425
+ escapes: /%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,
426
+ identifier: /(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,
427
+ systemcall: /[$][a-zA-Z0-9_]+/,
428
+ timeunits: /s|ms|us|ns|ps|fs/,
429
+ // The main tokenizer for our languages
430
+ tokenizer: {
431
+ root: [
432
+ // module instances
433
+ [
434
+ /^(\s*)(@identifier)/,
435
+ [
436
+ "",
437
+ {
438
+ cases: {
439
+ "@builtin_gates": {
440
+ token: "keyword.$2",
441
+ next: "@module_instance"
442
+ },
443
+ table: {
444
+ token: "keyword.$2",
445
+ next: "@table"
446
+ },
447
+ "@keywords": { token: "keyword.$2" },
448
+ "@default": {
449
+ token: "identifier",
450
+ next: "@module_instance"
451
+ }
452
+ }
453
+ }
454
+ ]
455
+ ],
456
+ // include statements
457
+ [/^\s*`include/, { token: "keyword.directive.include", next: "@include" }],
458
+ // Preprocessor directives
459
+ [/^\s*`\s*\w+/, "keyword"],
460
+ // identifiers and keywords
461
+ { include: "@identifier_or_keyword" },
462
+ // whitespace and comments
463
+ { include: "@whitespace" },
464
+ // (* attributes *).
465
+ [/\(\*.*\*\)/, "annotation"],
466
+ // Systemcall
467
+ [/@systemcall/, "variable.predefined"],
468
+ // delimiters and operators
469
+ [/[{}()\[\]]/, "@brackets"],
470
+ [/[<>](?!@symbols)/, "@brackets"],
471
+ [
472
+ /@symbols/,
473
+ {
474
+ cases: {
475
+ "@operators": "delimiter",
476
+ "@default": ""
477
+ }
478
+ }
479
+ ],
480
+ // numbers
481
+ { include: "@numbers" },
482
+ // delimiter: after number because of .\d floats
483
+ [/[;,.]/, "delimiter"],
484
+ // strings
485
+ { include: "@strings" }
486
+ ],
487
+ identifier_or_keyword: [
488
+ [
489
+ /@identifier/,
490
+ {
491
+ cases: {
492
+ "@keywords": { token: "keyword.$0" },
493
+ "@default": "identifier"
494
+ }
495
+ }
496
+ ]
497
+ ],
498
+ numbers: [
499
+ [/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/, "number.float"],
500
+ [/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/, "number.float"],
501
+ [/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/, "number"],
502
+ [/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/, "number.binary"],
503
+ [/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/, "number.octal"],
504
+ [/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/, "number.hex"],
505
+ [/1step/, "number"],
506
+ [/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/, "number"],
507
+ [/'[01xXzZ]+/, "number"]
508
+ ],
509
+ module_instance: [
510
+ { include: "@whitespace" },
511
+ [/(#?)(\()/, ["", { token: "@brackets", next: "@port_connection" }]],
512
+ [/@identifier\s*[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
513
+ [/@symbols|[;={}\[\],]/, { token: "@rematch", next: "@pop" }],
514
+ [/@identifier/, "type"],
515
+ [/;/, "delimiter", "@pop"]
516
+ ],
517
+ port_connection: [
518
+ { include: "@identifier_or_keyword" },
519
+ { include: "@whitespace" },
520
+ [/@systemcall/, "variable.predefined"],
521
+ { include: "@numbers" },
522
+ { include: "@strings" },
523
+ [/[,]/, "delimiter"],
524
+ [/\(/, "@brackets", "@port_connection"],
525
+ [/\)/, "@brackets", "@pop"]
526
+ ],
527
+ whitespace: [
528
+ [/[ \t\r\n]+/, ""],
529
+ [/\/\*/, "comment", "@comment"],
530
+ [/\/\/.*$/, "comment"]
531
+ ],
532
+ comment: [
533
+ [/[^\/*]+/, "comment"],
534
+ [/\*\//, "comment", "@pop"],
535
+ [/[\/*]/, "comment"]
536
+ ],
537
+ strings: [
538
+ [/"([^"\\]|\\.)*$/, "string.invalid"],
539
+ // non-teminated string
540
+ [/"/, "string", "@string"]
541
+ ],
542
+ string: [
543
+ [/[^\\"]+/, "string"],
544
+ [/@escapes/, "string.escape"],
545
+ [/\\./, "string.escape.invalid"],
546
+ [/"/, "string", "@pop"]
547
+ ],
548
+ include: [
549
+ [
550
+ /(\s*)(")([\w*\/*]*)(.\w*)(")/,
551
+ [
552
+ "",
553
+ "string.include.identifier",
554
+ "string.include.identifier",
555
+ "string.include.identifier",
556
+ { token: "string.include.identifier", next: "@pop" }
557
+ ]
558
+ ],
559
+ [
560
+ /(\s*)(<)([\w*\/*]*)(.\w*)(>)/,
561
+ [
562
+ "",
563
+ "string.include.identifier",
564
+ "string.include.identifier",
565
+ "string.include.identifier",
566
+ { token: "string.include.identifier", next: "@pop" }
567
+ ]
568
+ ]
569
+ ],
570
+ table: [
571
+ { include: "@whitespace" },
572
+ [/[()]/, "@brackets"],
573
+ [/[:;]/, "delimiter"],
574
+ [/[01\-*?xXbBrRfFpPnN]/, "variable.predefined"],
575
+ ["endtable", "keyword.endtable", "@pop"]
576
+ ]
577
+ }
578
+ };
579
+ export {
580
+ e as conf,
581
+ n as language
582
+ };