vhdl_tb 0.7.5 → 0.7.6

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: d7f7b2e505776bf00148cc42e65b506ba3b5368c01f77004d487f9fb70cb0b6a
4
- data.tar.gz: 2af2e0abfed315c9830de2d50a53b73de239e1e39ba5f56965cd41a4e01b50a8
3
+ metadata.gz: 5b1b9d7ce27de5b7de34e5a92a61b228bbef9acac3cca9536b1211b3659c63dc
4
+ data.tar.gz: 741006590431fe61e59e607455c3bb9ec97694697b380da307084a78be4e9974
5
5
  SHA512:
6
- metadata.gz: d34267cb16bf1413777547f7314a4669fe98841ee7f965ae09f2635fbc8648324ae348a9cbf2b9b66026da5003b09da2c47b650a5b6529046a2815e9b6909af4
7
- data.tar.gz: 1a1b7a75777ddfeb3d68a7e48db728a7afe213abb4cc92258009e1cda62b9b4ec51c0f0219ce673b9eae66ab4fc97f7799237dbe2bf58b45f4a34a096573a9f3
6
+ metadata.gz: 455fb7d0147971fa0bf4da18c8b7c411f077ac49a900061a007e55320821c808d7253c51c62c76f41a6ce121020154e10e8aab02ebbdd0eb28284c44bc896879
7
+ data.tar.gz: 8c79725261268d616f52cfbdaf94ff0c0f2a308a3565369570647f7fd135b1e5ada663536af2e0ee48cb909eeeb9832ae86d4cf4e8478f0e378166202f8fcf35
data/lib/compiler.rb CHANGED
@@ -20,9 +20,7 @@ module VHDL_TB
20
20
  end
21
21
 
22
22
  def banner
23
- #puts "-- "+"="*30
24
23
  puts "==> VHDL testbench generator #{VERSION} <=="
25
- #puts "-- "+"="*30
26
24
  end
27
25
 
28
26
  def analyze_options args
@@ -68,6 +66,7 @@ module VHDL_TB
68
66
  puts "testbench generated : #{tb_filename}"
69
67
  rescue Exception => e
70
68
  puts e
69
+ puts e.backtrace
71
70
  abort
72
71
  end
73
72
  end
@@ -102,14 +101,14 @@ module VHDL_TB
102
101
  end
103
102
 
104
103
  if @arch.entity.val!=@entity.name.val
105
- errors << "wrong entity-architecture pair : entity is -->#{@entity.name}<-- vs arch #{@arch.name} of -->#{@arch.entity.name}<--"
104
+ errors << "wrong entity-architecture pair : entity is -->#{@entity.name}<-- vs arch #{@arch.name} of -->#{@arch.entity}<--"
106
105
  end
107
106
 
108
107
  if errors.any?
109
108
  puts ": nok"
110
109
  puts "\nchecks failed due to the following errors :"
111
110
  errors.each{|e| puts "- ERROR : #{e}"}
112
- raise
111
+ raise "check error"
113
112
  else
114
113
  puts ": ok"
115
114
  end
data/lib/parser.rb CHANGED
@@ -19,7 +19,7 @@ module VHDL_TB
19
19
  raise "ERROR : cannot find file '#{filename}'"
20
20
  end
21
21
  begin
22
- str=IO.read(filename)
22
+ str=IO.read(filename).downcase
23
23
  tokens=lexer.tokenize(str)
24
24
  tokens=tokens.select{|t| t.class==Token} # filters [nil,nil,nil]
25
25
  return tokens.reject{|tok| tok.is_a? [:comment,:newline,:space]}
data/lib/version.rb CHANGED
@@ -1,3 +1,3 @@
1
1
  module VHDL_TB
2
- VERSION="0.7.5"
2
+ VERSION="0.7.6"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.7.5
4
+ version: 0.7.6
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-11-08 00:00:00.000000000 Z
11
+ date: 2019-12-04 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple testbench generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr