vhdl_tb 0.7.2 → 0.7.3

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 3e53905bcf00e9d72f017e48c21f1ead6cfb8e13aa097e97a98ae2ddbf9b4eed
4
- data.tar.gz: cd8005f45e17aacb791000ee71734bcff18529769f9a97c28eba538af9442ba2
3
+ metadata.gz: 81c76d1fd182c78ed0f29b173882ae0291ae341d0ab757c7e44b22467ac0ba17
4
+ data.tar.gz: 73cdef50d0d39193ea55ac6b417c1e4ab1d98562cb89b1b092a8a1a93307f78c
5
5
  SHA512:
6
- metadata.gz: 62dc23f83bc69a5bd4a39129209e4b221a7b16658aa029681ec446ab2a0ce29087e7623c0a2c6997e712f96613972602f97cddd108921751aaa5b2006f437f1e
7
- data.tar.gz: 50789b2b231bc53ce83a0fbdf8ae22d4f5b800e59044028ba2dd9ba371e46ed4bd5fa70479bd2634a66c2e440f3c5dd9a9240e0bd8d5bf3e067b77de149e6732
6
+ metadata.gz: 679a44ac745abab44d37418824d85b1c906ef573de0b534b75fd829235481827f0c5a2ed7e2d82cfa5e88c7725d322710b1a71b2ae793660bfdaf8479e507bac
7
+ data.tar.gz: 9570f797d11c739363a75e0ce304a4d77b32cb8f59155b29622dfa8e9b61afee1eb0fb7dea7c8730068b2a9f2c81c3d455566e5ef81da4af9f1caaef21b9a2c7
data/lib/generic_lexer.rb CHANGED
@@ -53,10 +53,10 @@ class GenericLexer
53
53
  def tokenize code
54
54
  open(code)
55
55
  tokens=[]
56
- tokens << next_token() while not @ssc.eos?
57
- # while not @ssc.eos?
58
- # tokens << (p next_token)
59
- # end #usefull for debug
56
+ #tokens << next_token() while not @ssc.eos?
57
+ while not @ssc.eos?
58
+ tokens << (p next_token)
59
+ end #usefull for debug
60
60
  tokens
61
61
  end
62
62
  end
data/lib/lexer.rb CHANGED
@@ -137,6 +137,7 @@ module VHDL_TB
137
137
  token :gt => /\A\>/
138
138
  token :lt => /\A\</
139
139
  token :urange => /\A<>/
140
+ token :dot => /\A\./
140
141
  #............................................................
141
142
  token :newline => /[\n]/
142
143
  token :space => /[ \t\r]+/
data/lib/version.rb CHANGED
@@ -1,3 +1,3 @@
1
1
  module VHDL_TB
2
- VERSION="0.7.2"
2
+ VERSION="0.7.3"
3
3
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.7.2
4
+ version: 0.7.3
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-04-04 00:00:00.000000000 Z
11
+ date: 2019-05-21 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple testbench generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr