vhdl_tb 0.2 → 0.3

Sign up to get free protection for your applications and to get access to all the features.
Files changed (3) hide show
  1. checksums.yaml +4 -4
  2. data/lib/vhdl_tb.rb +4 -4
  3. metadata +3 -3
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: 61e50cf3e02216bb813808b913f5df66188ed468
4
- data.tar.gz: 5bb80eccc0368b0626d49662f2910f152d0b52ad
3
+ metadata.gz: 14002750b63d3563c04fd01838317c646040a886
4
+ data.tar.gz: '09ad79c60cae41191b96011364cbaff889171e9e'
5
5
  SHA512:
6
- metadata.gz: 86aa3ba40f08469e02b7b7fac6a86e6489685d46ef22e09f75c8d224c1e4cade76733039598a11d373ab5c1c0b8f5b61b9b23d3732a2e5553d6b0e9b6b791146
7
- data.tar.gz: 8aecc52f8f78b2b5c74d09063e2fabccd0e5969ce70b6e8ed82ec9521853e84736bf127a9d708882d27683c36caac0c03d24dedecfbe0c381eb07d47e644912c
6
+ metadata.gz: b23d38e934a92487145f969bfc1419dd3841fac5d98520d4bd1e26724b543266636be5530ec20318f37703b6902c0c75d6111ab53041fb15d245d2b8bfec5390
7
+ data.tar.gz: 5297fa15480d5d7b45575926dc8dc95b42c78fc8d199a6af8438665a6c7c532b54c2b5865b778b30c2f53206584ce0f04a1be8e9cecfa285ce3d4c7c07462071
data/lib/vhdl_tb.rb CHANGED
@@ -9,7 +9,7 @@ Testbench = Struct.new("Testbench",:name)
9
9
 
10
10
  class VhdlTb
11
11
 
12
- VERSION = "0.2"
12
+ VERSION = "0.3"
13
13
 
14
14
  def initialize
15
15
  #puts __dir__
@@ -69,9 +69,9 @@ class VhdlTb
69
69
  def analyze entity_filename
70
70
  puts "analyzing VHDL file : #{entity_filename}"
71
71
  code=IO.read(entity_filename)
72
- regexp_entity=/entity\s+(\w+)\s+is\s+port\s*\((.*)\).*end\s+/im
73
- entity_matched = regexp_entity.match(code)
74
- name,iotext=*entity_matched.captures
72
+ regexp_entity=/entity\s+(\w+)\s+is\s+(generic\(.*\)\;\s+)?port\s*\(\s*(.*)\)\s*\;\s*end\s+/im
73
+ pp entity_matched = regexp_entity.match(code)
74
+ name,generics,iotext=*entity_matched.captures
75
75
 
76
76
  ioregexp=/(\w+)\s*:\s*(\w+)\s+(.*)\s*;?/ix
77
77
  iotab= iotext.scan(ioregexp)
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_tb
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.2'
4
+ version: '0.3'
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2017-10-16 00:00:00.000000000 Z
11
+ date: 2017-10-24 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple testbench generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr
@@ -43,7 +43,7 @@ required_rubygems_version: !ruby/object:Gem::Requirement
43
43
  version: '0'
44
44
  requirements: []
45
45
  rubyforge_project:
46
- rubygems_version: 2.6.12
46
+ rubygems_version: 2.6.14
47
47
  signing_key:
48
48
  specification_version: 4
49
49
  summary: VHDL Testbench generator