vhdl_help 0.4.4 → 0.4.5

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 6c576710a5ac547579c2871b4c7cc8af6026be8c834e3585237903449f9e6298
4
- data.tar.gz: 922b762b90b9bbf7ba413c6f181c765a6c85ad89ceb17ab4750d910622408728
3
+ metadata.gz: bb18c70c36ffb56d0291f939fdf68a60d4d4ef7712a2dd00463c1131fe2f63f4
4
+ data.tar.gz: 89f1a3a6612a475267814fa60e6fe0131686a488b584439811a87d58e91047fd
5
5
  SHA512:
6
- metadata.gz: bccc25f16e3b703a1b55f8029edbd461976acf1e0dc53693429e9d8766f35370a079730c09d3ff7e1f0a26ae6423b7795e298f33ba696717f256a5932c3f2894
7
- data.tar.gz: 21f0691342e40accc998bb0682d1d9e8dededbcae84843597458a88b110ccdc9612a27f8a7c833bdeb007134cfa1ecfb376e6e18591cbfbdde4513f05e84570d
6
+ metadata.gz: d3fcffc7c0235f23b297eec1ee8a5664537c383942ea965d1ec11fac2b6e8bd0aa7c5a04058fe92890615b4c339edc2aac0962a85eb3db91c8f385484ac35002
7
+ data.tar.gz: a6a59b319fa729cb8e5e049c95035c0e27b98f3b89010897a146f8d39c2e9185f1a90ba343661d442902c6a2d2daac85f47019fb8f21b3a2e0f6f2ff6d844165
@@ -26,7 +26,7 @@ begin
26
26
  clk => clk,
27
27
  input_a => a,
28
28
  output_f => f
29
- )
29
+ );
30
30
 
31
31
  -- stimuli
32
32
  stim:process
@@ -6,7 +6,7 @@ require 'similar_text'
6
6
 
7
7
  class VhdlHelper
8
8
 
9
- VERSION = "0.4.4"
9
+ VERSION = "0.4.5"
10
10
 
11
11
  def initialize
12
12
  puts "-- "+"="*60
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.4.4
4
+ version: 0.4.5
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann