vhdl_help 0.4.3 → 0.4.4

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
- SHA1:
3
- metadata.gz: 9b7534abca726794b91b9978d84d144100c4308b
4
- data.tar.gz: 6b6b47b7f915da83204a27ef734a80fe92083ad9
2
+ SHA256:
3
+ metadata.gz: 6c576710a5ac547579c2871b4c7cc8af6026be8c834e3585237903449f9e6298
4
+ data.tar.gz: 922b762b90b9bbf7ba413c6f181c765a6c85ad89ceb17ab4750d910622408728
5
5
  SHA512:
6
- metadata.gz: 4b33a7b116645cbc3e5bc6f4b60b17fddf08bde9b982515cd9077ba6c8a466d8a89282a0766e4a50d6b214be946c97634aea774b2a7c28b9335be8bbf23d7931
7
- data.tar.gz: 5b5985a62b965ab06ef12aef4da47c5efebd76f818332ceb402a31ae9bd6a8d1a6fe6899efaad3e669b8f0f2e076b583c66ff6764e99a4edd6031866c2c0741f
6
+ metadata.gz: bccc25f16e3b703a1b55f8029edbd461976acf1e0dc53693429e9d8766f35370a079730c09d3ff7e1f0a26ae6423b7795e298f33ba696717f256a5932c3f2894
7
+ data.tar.gz: 21f0691342e40accc998bb0682d1d9e8dededbcae84843597458a88b110ccdc9612a27f8a7c833bdeb007134cfa1ecfb376e6e18591cbfbdde4513f05e84570d
@@ -6,7 +6,7 @@ entity tb is
6
6
  end tb;
7
7
 
8
8
  architecture bhv of tb is
9
-
9
+
10
10
  constant HALF_PERIOD : time := 5 ns; --100Mhz
11
11
  signal running : boolean := true;
12
12
  signal clk : std_logic := '0';
@@ -14,7 +14,7 @@ architecture bhv of tb is
14
14
  begin
15
15
 
16
16
  -- clock generator
17
- clk <= not clk a after HALF_PERIOD when running else clk;
17
+ clk <= not clk after HALF_PERIOD when running else clk;
18
18
 
19
19
  -- asynchronous reset
20
20
  reset_n <= '0','1' after 123 ns;
data/lib/vhdl_helper.rb CHANGED
@@ -6,7 +6,7 @@ require 'similar_text'
6
6
 
7
7
  class VhdlHelper
8
8
 
9
- VERSION = "0.4.3"
9
+ VERSION = "0.4.4"
10
10
 
11
11
  def initialize
12
12
  puts "-- "+"="*60
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.4.3
4
+ version: 0.4.4
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2018-09-11 00:00:00.000000000 Z
11
+ date: 2018-10-12 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: similar_text
@@ -69,7 +69,7 @@ required_rubygems_version: !ruby/object:Gem::Requirement
69
69
  version: '0'
70
70
  requirements: []
71
71
  rubyforge_project:
72
- rubygems_version: 2.6.14
72
+ rubygems_version: 2.7.7
73
73
  signing_key:
74
74
  specification_version: 4
75
75
  summary: VHDL Snippets Generator