vhdl_help 0.4 → 0.4.1

Sign up to get free protection for your applications and to get access to all the features.
Files changed (3) hide show
  1. checksums.yaml +4 -4
  2. data/lib/vhdl_helper.rb +1 -1
  3. metadata +1 -1
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: d9f64f09ee417da21b947028d98c53aa64ade483
4
- data.tar.gz: 48627fd8d9ee2c5ef4eb0afdc8691cc5afd75224
3
+ metadata.gz: 7ad12d40e966b06c2ac4574336caec040268b817
4
+ data.tar.gz: 4d1a32a437d0c1283d553d13d684bbd17c45f37f
5
5
  SHA512:
6
- metadata.gz: 96dd39a46fea7f42f6e3e32cb0039c78bbbe7b39745f315a48cd41fe55bed4fb04c8c76853bf08daa6dcc19c3c98740a8e90f257393dc2f9e893b794e3db1927
7
- data.tar.gz: 49b354b2feb6384b88fa0a26dc57bf30fbfebc41c65c5f6611795c85228731faf72fe402d2b688df3b10f3f2619a868d11de76ed3881b7936608c897fbad09ba
6
+ metadata.gz: b9769286eca4b9f33a4dda931337aef192abae664b98086646f889235340659864393d04a2a6e30cd7d18e78d8f64645018e8564a060e22831cfdd9e8a3ed1cf
7
+ data.tar.gz: 3e31d1b597c7ac291cbafc28778d3f84a031751e50a418c545b608b1ec82170ed4d238a9e353bf4c05978d93aeb8dbd4ac1468c21656126e73a04cd807877e0e
data/lib/vhdl_helper.rb CHANGED
@@ -5,7 +5,7 @@ require 'optparse'
5
5
 
6
6
  class VhdlHelper
7
7
 
8
- VERSION = "0.4"
8
+ VERSION = "0.4.1"
9
9
 
10
10
  def initialize
11
11
  puts "-- "+"="*60
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.4'
4
+ version: 0.4.1
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann