vhdl_help 0.1 → 0.2

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: 3a2aec5ff639c532645351dad1ff4f2bf3a57592
4
- data.tar.gz: 60ebd00aae9fe2cddc24d5a5fc11616a8537ecc9
3
+ metadata.gz: a46442564326d5e19b8b18e624ea07097ef5a30d
4
+ data.tar.gz: 258a98aad8ded9b3ea61f352d490f1f218aef925
5
5
  SHA512:
6
- metadata.gz: 7d2e48c0f2b426f501bef330853c9dbee9a9a54bb214ab08fbd702898c8e47a7e90932e09f048db56f2747420c5d808605ec93ea308de4fc17445e92889efa09
7
- data.tar.gz: a7c3480e1043ade625e875f017f516bfa7e5cd13288862986755d278753df48b47057770e59c0139d639832755c8cece5b4ddb76eca40519a72678f6952ae153
6
+ metadata.gz: 20ffebb9b1e1ea63da45e39baf8707fe411170d43861bc1e4ff3632ba9017cccba39ffd74879e62fd17aa5e759b5fa4b6e9cac8a5782c7e4be79a011a01a8c33
7
+ data.tar.gz: 4d5b961c1754dc4b25e9e55c8a345912b21d38e7bb5c99da69936eb624169dfed09ec4586275d22cfeb622385b2eb0f02d10d8f848ce5070cfd1ccb2d3f54b0f
@@ -5,9 +5,9 @@ use ieee.numeric_std.all;
5
5
  entity fsm is
6
6
  port(
7
7
  reset_n : in std_logic;
8
- clk : in std_logic;
9
- go : in std_logic;
10
- f : out unsigned(7 downto 0)
8
+ clk : in std_logic;
9
+ go : in std_logic;
10
+ f : out unsigned(7 downto 0)
11
11
  );
12
12
  end fsm;
13
13
 
@@ -28,7 +28,7 @@ begin
28
28
  end if;
29
29
  end process;
30
30
 
31
- comb:process(go)
31
+ comb : process(go,state_r)
32
32
  begin
33
33
  state_c <= state_r; --default assigment
34
34
  case state_r is
@@ -45,7 +45,7 @@ begin
45
45
  end case;
46
46
  end process;
47
47
 
48
- output_gen :process(reset_n,clk)
48
+ output_gen : process(reset_n,clk)
49
49
  begin
50
50
  if reset_n='0' then
51
51
  output_f <= (others=>'0');
data/lib/vhdl_helper.rb CHANGED
@@ -5,7 +5,7 @@ require 'optparse'
5
5
 
6
6
  class VhdlHelper
7
7
 
8
- VERSION = "0.1"
8
+ VERSION = "0.2"
9
9
 
10
10
  def initialize
11
11
  puts "-- "+"="*60
@@ -30,7 +30,7 @@ class VhdlHelper
30
30
  @options[:gen]=true
31
31
  end
32
32
 
33
- opts.on("--version", "Prints version") do |n|
33
+ opts.on("-v","--version", "Prints version") do |n|
34
34
  puts VERSION
35
35
  abort
36
36
  end
@@ -46,7 +46,8 @@ class VhdlHelper
46
46
  opt_parser.parse!(args)
47
47
  @args=args
48
48
  rescue Exception => e
49
- puts e
49
+ #puts e
50
+ #puts e.backtrace
50
51
  exit
51
52
  end
52
53
  end
@@ -58,7 +59,8 @@ class VhdlHelper
58
59
 
59
60
  def show_keywords
60
61
  puts "Here are the keywords I know about :"
61
- files=__dir__+"../templates/*.vhd"
62
+ path=__dir__+"/templates/*.vhd"
63
+ files=Dir[path]
62
64
  concepts=files.collect{|filename| filename.split("/").last.match(/(.*).vhd/)[1]}
63
65
  concepts.each do |concept|
64
66
  puts "- #{concept}"
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: vhdl_help
3
3
  version: !ruby/object:Gem::Version
4
- version: '0.1'
4
+ version: '0.2'
5
5
  platform: ruby
6
6
  authors:
7
7
  - Jean-Christophe Le Lann
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2017-10-16 00:00:00.000000000 Z
11
+ date: 2017-10-19 00:00:00.000000000 Z
12
12
  dependencies: []
13
13
  description: A simple snippets generator for VHDL
14
14
  email: jean-christophe.le_lann@ensta-bretagne.fr