origen_sim 0.5.1 → 0.5.2

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA1:
3
- metadata.gz: d43f5b6b5fbd5690d6102a112092e4faab826395
4
- data.tar.gz: 556101429de33f89de0898a205b5484dc8112af1
3
+ metadata.gz: fb3fba493270e2d18561a64d5b531809789c10e2
4
+ data.tar.gz: 7926ba7c5a43cc6b259f57cc7c9da408c573b2da
5
5
  SHA512:
6
- metadata.gz: baaf314cedd9d9af37b8ee73da872f35381651ad906fbd2607ac55650a04169e8eb04ce61c09bb64621425538e5e0c6dc760d302d5c8214a837d21b4cef6d70a
7
- data.tar.gz: 740dcff5b5b89ecf3e41e7e872b6533757c7ee7834bb5cbd73454add475159ee70e2bc8e5962aaef9839b371ade03fc7fab46ca7301e46b846e0b0ef6f33c5a2
6
+ metadata.gz: 8031c7ea4452ecb7d2b444d03e9f105aac27e6fc873a7021c3611e8200c27f7fa1014a8a7521d6a5c36e93e167802441623e082555838a2c71a310767c9e805b
7
+ data.tar.gz: feeb3873c4252c403d27721b00d39a8aea90862c85f7a9abb544a1f6aab23e66921d3249c882c99d095a17d5ddb31ff527377b9ecdae8e0d2be62d7d237cfd98
data/config/version.rb CHANGED
@@ -1,7 +1,7 @@
1
1
  module OrigenSim
2
2
  MAJOR = 0
3
3
  MINOR = 5
4
- BUGFIX = 1
4
+ BUGFIX = 2
5
5
  DEV = nil
6
6
 
7
7
  VERSION = [MAJOR, MINOR, BUGFIX].join(".") + (DEV ? ".pre#{DEV}" : '')
@@ -59,7 +59,7 @@ unless options[:testrun]
59
59
  files: "#{Origen.root!}/templates/rtl_v/origen.v.erb",
60
60
  output: tmp_dir,
61
61
  check_for_changes: false,
62
- options: { vendor: config[:vendor], top: config[:rtl_top] }
62
+ options: { vendor: config[:vendor], top: config[:rtl_top], incl: config[:incl_files] }
63
63
  end
64
64
 
65
65
  case config[:vendor]
@@ -90,9 +90,15 @@ when :cadence
90
90
  Array(config[:rtl_dir] || config[:rtl_dirs]).each do |dir|
91
91
  cmd += " -incdir #{dir}"
92
92
  end
93
- cmd += " #{tmp_dir}/origen.v -top origen -timescale 1ns/1ns"
93
+ cmd += " #{tmp_dir}/origen.v"
94
+ if config[:alt_top]
95
+ cmd += " -top #{config[:alt_top]}"
96
+ else
97
+ cmd += ' -top origen'
98
+ end
99
+ cmd += ' -timescale 1ns/1ns'
94
100
  cmd += " -nclibdirpath #{simulator.compiled_dir}"
95
- cmd += " #{Origen.root!}/ext/*.c -ccargs \"-std=gnu99\""
101
+ cmd += " #{Origen.root!}/ext/*.c -ccargs \"-std=c99\""
96
102
  cmd += ' -elaborate -snapshot origen -access +rw'
97
103
  cmd += " #{config[:explicit].strip.gsub(/\s+/, ' ')}" if config[:explicit]
98
104
  end
@@ -157,6 +157,16 @@ module origen;
157
157
 
158
158
  // Instantiate the DUT
159
159
  <%= options[:top].sub(/\..*/, '') %> dut (
160
+ % dut.power_pins.each do |name, pin, options|
161
+ % unless pin.tie_off
162
+ .<%= pin.id %>(<%= pin.id %>),
163
+ % end
164
+ % end
165
+ % dut.ground_pins.each do |name, pin, options|
166
+ % unless pin.tie_off
167
+ .<%= pin.id %>(<%= pin.id %>),
168
+ % end
169
+ % end
160
170
  % dut.rtl_pins.each_with_index do |(name, pin, options), i|
161
171
  % if options[:group]
162
172
  % if pin.group_index == 0
@@ -191,4 +201,9 @@ module origen;
191
201
  always @(posedge finish) begin
192
202
  $finish(2);
193
203
  end
204
+
205
+ % Array(options[:incl]).each do |f|
206
+ `include "<%= "#{f}" %>"
207
+ % end
208
+
194
209
  endmodule
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: origen_sim
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.5.1
4
+ version: 0.5.2
5
5
  platform: ruby
6
6
  authors:
7
7
  - Stephen McGinty
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2017-06-02 00:00:00.000000000 Z
11
+ date: 2017-08-11 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: origen