origen_sim 0.20.2 → 0.20.3

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 8a78d741a8ea363189233e8e227acb4f8c070697b21938ec6120233cf80f1b03
4
- data.tar.gz: 7ef47fe8101f15e47314964d18f0f8634b8dfc6b902738ace2ccf5bc16bc19cd
3
+ metadata.gz: 4ab4654d80fd9cc6c3e3cd279e7a633dc2196c0f94af8de97d3b99f33139be71
4
+ data.tar.gz: 1fa51c18499e461e3b981d4c357959ef217436a0be96b4942e6858c9fd694ce2
5
5
  SHA512:
6
- metadata.gz: 1dd4cdf7fc522415fc23fab1a2b94d20568f4abc4e2802a92cfa207025fb253377644d4fe3b301093304b82d172b594f0981e970e0c300ee54af6fff1ab4f56c
7
- data.tar.gz: a5480eda1a16b2e9613bcd6d5ac050d422bc85eb0f2a6a6e5df9a3ccc7565b719b8bc1059e597ebf20c9a886314dee13bb8d781def7996b6cf93444ea715f877
6
+ metadata.gz: b27976b4e57fe537a9a4bc42d0772d5b5287249b8aca24dbddc3cad264f2871ac390c9cd83994312504452a6137bbb4e99f541b012b974a1283db293b5a3cab1
7
+ data.tar.gz: dbfdc64e64c808ebe6747e1eea50db6014a1fa17fe4c544dbf7a341583cf8490b0272495cbc5ddb2c4b5db5be451c5832a0a2b646af45115c49af397bd904e3a
data/config/version.rb CHANGED
@@ -1,8 +1,7 @@
1
1
  module OrigenSim
2
2
  MAJOR = 0
3
3
  MINOR = 20
4
- BUGFIX = 2
4
+ BUGFIX = 3
5
5
  DEV = nil
6
-
7
6
  VERSION = [MAJOR, MINOR, BUGFIX].join(".") + (DEV ? ".pre#{DEV}" : '')
8
7
  end
@@ -297,7 +297,7 @@ module OrigenSim
297
297
  if c = read_reg_cycles[error[:cycle]]
298
298
  if p = c[simulator.pins_by_rtl_name[error[:pin_name]]]
299
299
  if p[:position]
300
- diffs << [p[:position], error[:received], error[:expected]]
300
+ diffs << [p[:position], error[:expected], error[:received]]
301
301
  end
302
302
  end
303
303
  end
@@ -327,11 +327,11 @@ module OrigenSim
327
327
  bit.read if read_flags[i]
328
328
  end
329
329
 
330
- diffs.each do |position, received, expected|
330
+ diffs.each do |position, expected, received|
331
331
  if received == -1 || received == -2
332
332
  reg_or_val[position].unknown = true
333
333
  else
334
- reg_or_val[position].data = received
334
+ reg_or_val[position].write(received, force: true)
335
335
  end
336
336
  end
337
337
 
@@ -344,8 +344,8 @@ module OrigenSim
344
344
 
345
345
  # Put the data back so the application behaves as it would if generating
346
346
  # for a non-simulation tester target
347
- diffs.each do |position, received, expected|
348
- reg_or_val[position].data = expected
347
+ diffs.each do |position, expected, received|
348
+ reg_or_val[position].write(expected, force: true)
349
349
  end
350
350
  end
351
351
  end
@@ -376,7 +376,7 @@ module OrigenSim
376
376
  msg = "expected #{reg_or_val.to_s(16).upcase}"
377
377
  if actual_data_available
378
378
  actual = reg_or_val
379
- diffs.each do |position, received, expected|
379
+ diffs.each do |position, expected, received|
380
380
  if received == -1 || received == -2
381
381
  actual = '?' * reg_or_val.to_s(16).size
382
382
  break
data/pattern/fails.rb CHANGED
@@ -5,6 +5,10 @@ Pattern.create do
5
5
  dut.cmd.write!(0x1234_5678)
6
6
  dut.cmd.read!(0x1233_5678)
7
7
 
8
+ ss "Test a register-level miscompare with named bits"
9
+ dut.power_pin(:vdd).drive!(0)
10
+ dut.ana_test.read!(1)
11
+
8
12
  ss "Test a bit-level miscompare, expect 1"
9
13
  dut.ana_test.write!(0)
10
14
  dut.ana_test.bgap_out.read!(1)
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: origen_sim
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.20.2
4
+ version: 0.20.3
5
5
  platform: ruby
6
6
  authors:
7
7
  - Stephen McGinty
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-05-30 00:00:00.000000000 Z
11
+ date: 2019-05-31 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: origen