axi_tdl 0.0.7 → 0.0.8

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: d0ae825b35bd8f0680262cd912deaab26389908ef2ec6714b41ec78cac3396c2
4
- data.tar.gz: dac56ef59fba0409fd2be3d416b27ada73f2883148d753cd2c8b77506bc569dc
3
+ metadata.gz: 00bfed5eb26a33d38a48a0e32bd56d6dc164e626c24522b8c1a5a93c7c16740a
4
+ data.tar.gz: 14da5ba3c724ec1e1e125db77fc7bf658819673246fe2095d0aa593bb795a9b6
5
5
  SHA512:
6
- metadata.gz: a1418ec55197a4cff6fdbaadfd30b942c7b3ed349c69a0b79d9b8a0ee48c6c6261b8d5b729356e3f41c8a96f10dbd460aead590e3c3a15c06d5de761a905c585
7
- data.tar.gz: 8914485b24d7f2233c3c12d47e5cc853642afe31996acb36fcff9035c447a5ec5376d9adbea26c9846207bca02ea0e0bd6e7fab89e1a088007bf7eec13365255
6
+ metadata.gz: 5a60c937d6c3fc10ff43f7611708f4dd5a249b2d20a794ac8d339970d7bf23a3c3474e738d4977679e6e8720ccf879583f7897d1757b0e4ef02e0fa30c98c87f
7
+ data.tar.gz: 2a8647634e5eb6dd4ed2cc34d1918a855c91fe03049ba610329391f8918ac5299060fa15560dd6f550537834959b84bf2c35e743c1938bd4bc0eb6bfa2e3c50c
data/Gemfile.lock CHANGED
@@ -1,7 +1,7 @@
1
1
  PATH
2
2
  remote: .
3
3
  specs:
4
- axi_tdl (0.0.7)
4
+ axi_tdl (0.0.8)
5
5
 
6
6
  GEM
7
7
  remote: https://rubygems.org/
data/README.md CHANGED
@@ -1,5 +1,10 @@
1
1
  # Axi
2
-   It is a wonderful library of axi4, but it is not full axi4, It is designed by systemverilog. I compact axi4 and add something to it.
2
+   It is a wonderful library of axi4, but it is not full axi4, It is designed by systemverilog. I compact axi4 and add something to it.
3
+   axi hdl path
4
+ ```ruby
5
+ require 'axi_tdl'
6
+ AxiTdl::AXI_PATH
7
+ ```
3
8
  # Other
4
9
    It contain a simple interface that only define three signals, `valid`, `ready`, and `data`. I think it is useful for design.
5
10
 
@@ -7,7 +7,7 @@ TdlBuild.axis_head_cut_verc(__dir__) do
7
7
  port.axis.slaver - 'origin_inf'
8
8
  port.axis.master - 'out_inf'
9
9
 
10
- localparam.DX (origin_inf.DSIZE / param.BYTE_BITS)
10
+ parameter.DX (origin_inf.DSIZE / param.BYTE_BITS)
11
11
 
12
12
  Initial do
13
13
  assert(param.DX < 17, "param.DX<%0d> !< 17",param.DX)
@@ -1,6 +1,7 @@
1
1
 
2
2
  require_hdl 'axis_uncompress_A1.sv'
3
3
  require_sdl 'common_ram_sim_wrapper.rb'
4
+ require_hdl 'axi_stream_planer.sv'
4
5
 
5
6
  TdlBuild.axis_rom_contect_sim(__dir__) do
6
7
  # vcs_string(256).INIT_FILE 'template.coe'
@@ -1,3 +1,3 @@
1
1
  module AxiTdl
2
- VERSION = "0.0.7"
2
+ VERSION = "0.0.8"
3
3
  end
@@ -9,6 +9,7 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
+ `timescale 1ns/1ps
12
13
 
13
14
  module tb_exp_test_unit();
14
15
  //==========================================================================
@@ -9,7 +9,6 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
- `timescale 1ns/1ps
13
12
 
14
13
  module tb_test_top();
15
14
  //==========================================================================
@@ -113,12 +113,18 @@ module TdlSpace
113
113
  def root_ref(&block)
114
114
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
115
115
  rels = path_refs(&block)
116
+ if block_given?
117
+ sst = "block given"
118
+ else
119
+ sst = "no block"
120
+ end
121
+
116
122
  if rels.size == 1
117
123
  rels[0]
118
124
  elsif rels.size == 0
119
- raise TdlError.new "#{self} Cant find root ref"
125
+ raise TdlError.new "#{self} Cant find root ref {#{sst}}"
120
126
  else
121
- raise TdlError.new "#{self} Find multi root refs \n#{rels.join("\n")}\n"
127
+ raise TdlError.new "#{self} Find multi root refs {#{sst}} \n#{rels.join("\n")}\n"
122
128
  end
123
129
  end
124
130
  end
@@ -1,5 +1,17 @@
1
1
  ## read sdlmodule head
2
- $__sdlmodule_head_logo__ = File.open(File.join(__dir__,"sdlmodule_head_logo.txt")).read
2
+ class Tdl
3
+ @@__head_logo__ = nil
4
+ def self.head_logo
5
+ @@__head_logo__
6
+ end
7
+
8
+ def self.head_logo=(a)
9
+ @@__head_logo__ = a
10
+ end
11
+ end
12
+
13
+ $__sdlmodule_head_logo__ = Tdl.head_logo || File.open(File.join(__dir__,"sdlmodule_head_logo.txt")).read
14
+
3
15
  class SdlModule
4
16
  attr_accessor :origin_sv
5
17
 
data/lib/tdl/tdl.rb CHANGED
@@ -123,7 +123,7 @@ require_relative "./exlib/dve_tcl.rb"
123
123
 
124
124
  ## === INIT BLOCK Methods =====
125
125
  $argvs_hash = {}
126
- $argvs_hash = Parser.parse(ARGV) unless $_child_argv_
126
+ $argvs_hash = Parser.parse($TdlARGV || ARGV) unless $_child_argv_
127
127
  TopModule.sim = $argvs_hash[:sim]
128
128
 
129
129
  class Tdl
@@ -1,5 +1,6 @@
1
1
  class TdlError < ScriptError
2
2
  def initialize(arge)
3
+ Tdl.PutsEnable = false
3
4
  head_str0 = String.new("\n+_____________________________________________+\n")
4
5
  head_str1 = "\n|----------------TDL ERROR--------------------|\n"
5
6
  end_str0 = "\n+================TDL ERROR====================+\n"
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.0.7
4
+ version: 0.0.8
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
8
8
  autorequire:
9
9
  bindir: exe
10
10
  cert_chain: []
11
- date: 2021-02-21 00:00:00.000000000 Z
11
+ date: 2021-02-23 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: bundler