axi_tdl 0.0.5 → 0.0.6

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: a6ff7a2235a8e011adec4765e4aea5d10abb39615e4105778adaff498524aa98
4
- data.tar.gz: 34d969ac369e6dd94f5727a6002c0ac3c4245252cb39fb1d2ad12ed96eeaa8c4
3
+ metadata.gz: 6cac6e22403b945fe5137b685bcbc6e5d2ddf41e042898173155d5460fa9b597
4
+ data.tar.gz: e2555a38619971c23f88152e8403f614cf4bfeee60aa439b523e150de1deecf2
5
5
  SHA512:
6
- metadata.gz: c817210e567244893f6270e6012453e0e7bb8a434e5e713974fe1f8ad42a3ee69179e4ca0aa5194a3b9a313229f49e2207da112bd73f0a9fd5eedbd906316a86
7
- data.tar.gz: 935ade36cfc2e96c62d4cfa35ea460b846e80d03ad96238b449d87b28fac7bfc545aefb6ec9e828c83199a90a2793f9a4725d443fe26f216d3f17a798665bb2a
6
+ metadata.gz: 7717a76f4d3de9ca88111b10ebe87f14a32963c50436e008db6c1369cd0668e3cc7d08319bc78bfd82f69a9ba7ca0c04cddeefda156c4cdeb4fec0e8db15f11e
7
+ data.tar.gz: 29635d1da9becf98dd5c6e0d58e02763353e82aac96dab7b10c3760657769adc29613983066a730d5ba322478068f07ea0e97eccdb56433bb80f8ff1ed330db6
data/.gitignore CHANGED
@@ -6,3 +6,4 @@
6
6
  /pkg/
7
7
  /spec/reports/
8
8
  /tmp/
9
+ .rake_tasks~
data/Gemfile.lock CHANGED
@@ -1,7 +1,7 @@
1
1
  PATH
2
2
  remote: .
3
3
  specs:
4
- axi_tdl (0.0.5)
4
+ axi_tdl (0.0.6)
5
5
 
6
6
  GEM
7
7
  remote: https://rubygems.org/
data/lib/axi_tdl.rb CHANGED
@@ -5,3 +5,11 @@ module AxiTdl
5
5
  AXI_PATH = File.expand_path(File.join(__dir__,"axi"))
6
6
  TDL_PATH = File.expand_path(File.join(__dir__,"tdl"))
7
7
  end
8
+
9
+
10
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/AXI_stream"))
11
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/AXI_stream/stream_cache"))
12
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/AXI4"))
13
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/AXI4/packet_partition"))
14
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/common"))
15
+ add_to_tdl_paths File.expand_path(File.join(__dir__, "axi/data_interface"))
@@ -1,3 +1,3 @@
1
1
  module AxiTdl
2
- VERSION = "0.0.5"
2
+ VERSION = "0.0.6"
3
3
  end
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.0.5
4
+ version: 0.0.6
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin