axi_tdl 0.0.3 → 0.0.4

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 0e954c8132abf398d63250f6dc5f8aa8c6019c9735378f8dc63209bbf8c771f6
4
- data.tar.gz: dff5dc99ad5fc27c1cff8bddbbd2f7905d3d1ffaf67b2ccdf71fcfdd5e14d298
3
+ metadata.gz: d532a35eda32f4a709f7a3058bf606329dfe409da9b0a90ab9edc983f41546b9
4
+ data.tar.gz: 073b314ed3a7a0a1425590b6ba5593750d92f668c409d888fddfb0b597819b65
5
5
  SHA512:
6
- metadata.gz: 3061bdb9776f41b9ce3fd09927b8433331515a3c3fa66ad442756ec649b6e67e3ba57a389aba26206342817ad040ebbf0d4a78bf0a97ba9d665112fef02fe155
7
- data.tar.gz: 334381301551933ce545556a7b2466d31800eb2cf1fac2945869dd8dd96b9eb031a89e9bbd5fb4f8d672f1f14b3bff8a0d0e721602227b0884702a7e73648e6b
6
+ metadata.gz: d9a154a47704d09219231a717186e70ce0e2cf29bb875c20db5e8b2d7c672679ff74ffdf7469ed61934681dbc0c6e2584e3af4af639a5d193e27f254a269a975
7
+ data.tar.gz: 6f7c90f6a48c90b87694e1d749d88b5dcfc9a3aee33363d527b18c4db7cae8da0a5462a77c0a7829b96e9d5b98db438b901362f17a1d1efdd3f3a79e48cb9168
data/Gemfile.lock CHANGED
@@ -1,7 +1,7 @@
1
1
  PATH
2
2
  remote: .
3
3
  specs:
4
- axi_tdl (0.0.3)
4
+ axi_tdl (0.0.4)
5
5
 
6
6
  GEM
7
7
  remote: https://rubygems.org/
data/axi_tdl.gemspec CHANGED
@@ -11,8 +11,8 @@ Gem::Specification.new do |spec|
11
11
 
12
12
  spec.summary = %q{Axi is a light weight axi library. Tdl is a hardware Construction language}
13
13
  spec.description = %q{tdl is a hardware Construction language, it like chisel, but more intresting. It is a DSL and base on ruby. Finally, it convert to systemverilog. }
14
- # spec.homepage = "https://www.github.com/CookDarwin/axi_tdl"
15
- spec.homepage = "https://rubygems.org/gems/axi_tdl"
14
+ spec.homepage = "https://www.github.com/CookDarwin/axi_tdl"
15
+ # spec.homepage = "https://rubygems.org/gems/axi_tdl"
16
16
  spec.license = "LGPL-2.1"
17
17
  spec.files = Dir['lib/**/*']
18
18
  spec.require_paths = ["lib"]
data/lib/axi_tdl.rb CHANGED
@@ -1,6 +1,7 @@
1
1
  require "axi_tdl/version"
2
2
  require "tdl/tdl.rb"
3
- # ============
4
- # module AxiTdl
5
- # # Your code goes here...
6
- # end
3
+
4
+ module AxiTdl
5
+ AXI_PATH = File.expand_path(File.join(__dir__,"axi"))
6
+ TDL_PATH = File.expand_path(File.join(__dir__,"tdl"))
7
+ end
@@ -1,3 +1,3 @@
1
1
  module AxiTdl
2
- VERSION = "0.0.3"
2
+ VERSION = "0.0.4"
3
3
  end
@@ -19,7 +19,7 @@ module test_module_var #(
19
19
 
20
20
  //==========================================================================
21
21
  //-------- define ----------------------------------------------------------
22
- localparam ASIZE = 20 ;
22
+ localparam ASIZE = 20;
23
23
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
24
24
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis0_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
25
25
  axi_inf #(.DSIZE(32),.IDSIZE(2),.ASIZE(8),.LSIZE(9),.MODE("BOTH"),.ADDR_STEP(4294967295)) tmp_axi4_inf (.axi_aclk(clock),.axi_aresetn(rst_n)) ;
@@ -10,6 +10,7 @@ madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
12
  `timescale 1ns/1ps
13
+ `timescale 1ns/1ps
13
14
 
14
15
  module tb_test_top();
15
16
  //==========================================================================
@@ -10,7 +10,6 @@ madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
12
  `timescale 1ns/1ps
13
- `timescale 1ns/1ps
14
13
 
15
14
  module tb_test_tttop();
16
15
  //==========================================================================
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.0.3
4
+ version: 0.0.4
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
@@ -1275,7 +1275,7 @@ files:
1275
1275
  - lib/tdl/testunit/test_all.rb
1276
1276
  - lib/tdl/testunit/test_array_chain.rb
1277
1277
  - lib/tdl/testunit/test_tmp.rb
1278
- homepage: https://rubygems.org/gems/axi_tdl
1278
+ homepage: https://www.github.com/CookDarwin/axi_tdl
1279
1279
  licenses:
1280
1280
  - LGPL-2.1
1281
1281
  metadata: {}