axi_tdl 0.0.2 → 0.0.3

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 2a413d6078fa277cff64436fe51971f7d5ca1e8ef09c03660146351c68b42861
4
- data.tar.gz: 61d02c4c5626f4919384c20061555ee8ea664a4127237a64828bdb74bb92dba4
3
+ metadata.gz: 0e954c8132abf398d63250f6dc5f8aa8c6019c9735378f8dc63209bbf8c771f6
4
+ data.tar.gz: dff5dc99ad5fc27c1cff8bddbbd2f7905d3d1ffaf67b2ccdf71fcfdd5e14d298
5
5
  SHA512:
6
- metadata.gz: e7525bcaacf431e97146b305d942ec5f12116db749c795d7ab750cb6bb2a888c0b764191ef22377d897647d9c72cf1c3dfdc74f336bcebee52bdb106c933c303
7
- data.tar.gz: f9532c534f0e126e2605982f59356936ee8eeb1471ac3a3b9102d1503adff21c1dcc63a3f767447cb0be34ec7c947a579a527a73b5e8e8560264d3c09554856c
6
+ metadata.gz: 3061bdb9776f41b9ce3fd09927b8433331515a3c3fa66ad442756ec649b6e67e3ba57a389aba26206342817ad040ebbf0d4a78bf0a97ba9d665112fef02fe155
7
+ data.tar.gz: 334381301551933ce545556a7b2466d31800eb2cf1fac2945869dd8dd96b9eb031a89e9bbd5fb4f8d672f1f14b3bff8a0d0e721602227b0884702a7e73648e6b
data/Gemfile.lock CHANGED
@@ -1,7 +1,7 @@
1
1
  PATH
2
2
  remote: .
3
3
  specs:
4
- axi_tdl (0.0.2)
4
+ axi_tdl (0.0.3)
5
5
 
6
6
  GEM
7
7
  remote: https://rubygems.org/
data/axi_tdl.gemspec CHANGED
@@ -27,7 +27,7 @@ Gem::Specification.new do |spec|
27
27
  end
28
28
 
29
29
  spec.files = `git ls-files -z`.split("\x0").reject do |f|
30
- f.match(%r{^(test|spec|features)/})
30
+ f.match(%r{^(test|spec|features|pkg)/})
31
31
  end
32
32
 
33
33
  spec.files = (spec.files + Dir['lib/axi/**','lib/tdl/**', 'lib/axi/**/*','lib/tdl/**/*']).union
@@ -1,3 +1,3 @@
1
1
  module AxiTdl
2
- VERSION = "0.0.2"
2
+ VERSION = "0.0.3"
3
3
  end
@@ -9,7 +9,6 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
- `timescale 1ns/1ps
13
12
 
14
13
  module tb_exp_test_unit();
15
14
  //==========================================================================
@@ -19,7 +19,7 @@ module test_module_var #(
19
19
 
20
20
  //==========================================================================
21
21
  //-------- define ----------------------------------------------------------
22
- localparam ASIZE = 20;
22
+ localparam ASIZE = 20 ;
23
23
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
24
24
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis0_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
25
25
  axi_inf #(.DSIZE(32),.IDSIZE(2),.ASIZE(8),.LSIZE(9),.MODE("BOTH"),.ADDR_STEP(4294967295)) tmp_axi4_inf (.axi_aclk(clock),.axi_aresetn(rst_n)) ;
@@ -10,7 +10,6 @@ madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
12
  `timescale 1ns/1ps
13
- `timescale 1ns/1ps
14
13
 
15
14
  module tb_test_top();
16
15
  //==========================================================================
@@ -9,6 +9,8 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
+ `timescale 1ns/1ps
13
+ `timescale 1ns/1ps
12
14
 
13
15
  module tb_test_tttop();
14
16
  //==========================================================================
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.0.2
4
+ version: 0.0.3
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
8
8
  autorequire:
9
9
  bindir: exe
10
10
  cert_chain: []
11
- date: 2021-02-19 00:00:00.000000000 Z
11
+ date: 2021-02-20 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: bundler