axi_tdl 0.0.12 → 0.0.15

Sign up to get free protection for your applications and to get access to all the features.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 54ad63bab726d7657a764ee9ba830d4db48a7f12eda602e79dd25ed24a48290a
4
- data.tar.gz: e2251135f036fd5ea2c4c8e4e754afa9ac2e67c3b6283c7685ae341fcd6961d5
3
+ metadata.gz: 0fe093880be9872cf37e3a6b02b859ebc7310754fb3230b2fe3930ee8a5f5bed
4
+ data.tar.gz: d91966e9e6afe30412e01ee25fe8a1ad10a583858b00f8f82fdd27154120a102
5
5
  SHA512:
6
- metadata.gz: 0037dac29e8452b3719a24647f0f712a3f195721fa71755af8c2f8b6783e110ac18641749ee68db9aa3f52597e29cbf319f9899664a6fef8d9ad27ce6b7265c6
7
- data.tar.gz: 246a589f4776261bd265141ea44428b2b532f42589fe59905139f08fcd73751c7da1546cf0445b1e1c3bc24cf413abf813b75e24f4dfa72e4b1e8e6f5bde319f
6
+ metadata.gz: 80a0a155eabaaedfea21850addd3bb1f3004bfa8c6e07ede885c2041e6ccb1c8f58a7c1a11131c0fb3c9d9b7405750a13d11c8a8a62cde1b71d063dcbd6336ec
7
+ data.tar.gz: 0c0987911528b7fb91740ad6b606998a85dcab0f5cdf381f76192343121806129d83e6573cd526fdae47ed11439085b4996ff98df1f53a59a54353618eb04489
data/.gitignore CHANGED
@@ -7,4 +7,6 @@
7
7
  /spec/reports/
8
8
  /tmp/
9
9
  .rake_tasks~
10
- lib/tdl/auto_script/tmp/
10
+ lib/tdl/auto_script/tmp/
11
+ /*.gem
12
+ Gemfile.lock
data/README.EN.md CHANGED
@@ -1,4 +1,9 @@
1
- # Axi
1
+
2
+ # AxiTdl
3
+ [![Gem Version](https://badge.fury.io/rb/axi_tdl.svg)](https://badge.fury.io/rb/axi_tdl)
4
+ [![Build Status](https://travis-ci.com/CookDarwin/axi_tdl.svg?branch=main)](https://travis-ci.com/CookDarwin/axi_tdl)
5
+
6
+ ## Axi
2
7
    It is a wonderful library of axi4, but it is not full axi4, It is designed by systemverilog. I compact axi4 and add something to it.
3
8
 
4
9
    axi hdl path
@@ -6,7 +11,7 @@
6
11
  require 'axi_tdl'
7
12
  AxiTdl::AXI_PATH
8
13
  ```
9
- # Other
14
+ ## Other
10
15
    It contain a simple interface that only define three signals, `valid`, `ready`, and `data`. I think it is useful for design.
11
16
 
12
17
  ## What is tdl?
data/README.md CHANGED
@@ -1,4 +1,8 @@
1
- # Axi
1
+ # AxiTdl
2
+ [![Gem Version](https://badge.fury.io/rb/axi_tdl.svg)](https://badge.fury.io/rb/axi_tdl)
3
+ [![Build Status](https://travis-ci.com/CookDarwin/axi_tdl.svg?branch=main)](https://travis-ci.com/CookDarwin/axi_tdl)
4
+
5
+ ## Axi
2
6
    axi是一个 axi4 拓展库,它使用的是删减版的AXI4协议,使用systemverilog开发,除此外我还拓展了AXI4的一些信号。
3
7
 
4
8
    axi hdl 所在路径可以如下Ruby 脚本获取
@@ -6,7 +10,7 @@
6
10
  require 'axi_tdl'
7
11
  AxiTdl::AXI_PATH
8
12
  ```
9
- # 其他
13
+ ## 其他
10
14
    此库还包含一个简单的接口定义, 接口信号只有 `valid`, `ready`, 和 `data`. 对于一些轻量设计很有帮助。
11
15
 
12
16
  ## tdl 是什么?
data/Rakefile CHANGED
@@ -1,4 +1,4 @@
1
- require "bundler/gem_tasks"
1
+ # require "bundler/gem_tasks"
2
2
  require "rake/clean"
3
3
  require "rake/testtask"
4
4
  require "fileutils"
data/axi_tdl.gemspec CHANGED
@@ -35,7 +35,7 @@ Gem::Specification.new do |spec|
35
35
  spec.executables = spec.files.grep(%r{^exe/}) { |f| File.basename(f) }
36
36
  spec.require_paths = ["lib"]
37
37
 
38
- spec.add_development_dependency "bundler", "~> 1.16"
38
+ # spec.add_development_dependency "bundler", "~> 1.16"
39
39
  spec.add_development_dependency "rake", "~> 10.0"
40
40
  # spec.add_development_dependency "rspec"
41
41
  spec.add_development_dependency "pry","~> 0.11"
@@ -1,3 +1,3 @@
1
1
  module AxiTdl
2
- VERSION = "0.0.12"
2
+ VERSION = "0.0.15"
3
3
  end
@@ -19,7 +19,7 @@ module test_module_var #(
19
19
 
20
20
  //==========================================================================
21
21
  //-------- define ----------------------------------------------------------
22
- localparam ASIZE = 20 ;
22
+ localparam ASIZE = 20;
23
23
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
24
24
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis0_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
25
25
  axi_inf #(.DSIZE(32),.IDSIZE(2),.ASIZE(8),.LSIZE(9),.MODE("BOTH"),.ADDR_STEP(4294967295)) tmp_axi4_inf (.axi_aclk(clock),.axi_aresetn(rst_n)) ;
@@ -9,8 +9,6 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
- `timescale 1ns/1ps
13
- `timescale 1ns/1ps
14
12
 
15
13
  module tb_test_top();
16
14
  //==========================================================================
@@ -9,6 +9,8 @@ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
+ `timescale 1ns/1ps
13
+ `timescale 1ns/1ps
12
14
 
13
15
  module tb_test_tttop();
14
16
  //==========================================================================
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.0.12
4
+ version: 0.0.15
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
@@ -10,20 +10,6 @@ bindir: exe
10
10
  cert_chain: []
11
11
  date: 2021-03-14 00:00:00.000000000 Z
12
12
  dependencies:
13
- - !ruby/object:Gem::Dependency
14
- name: bundler
15
- requirement: !ruby/object:Gem::Requirement
16
- requirements:
17
- - - "~>"
18
- - !ruby/object:Gem::Version
19
- version: '1.16'
20
- type: :development
21
- prerelease: false
22
- version_requirements: !ruby/object:Gem::Requirement
23
- requirements:
24
- - - "~>"
25
- - !ruby/object:Gem::Version
26
- version: '1.16'
27
13
  - !ruby/object:Gem::Dependency
28
14
  name: rake
29
15
  requirement: !ruby/object:Gem::Requirement