art-decomp 0.2.0 → 0.2.1

Sign up to get free protection for your applications and to get access to all the features.
data/VERSION CHANGED
@@ -1 +1 @@
1
- 0.2.0
1
+ 0.2.1
@@ -9,7 +9,7 @@ module ArtDecomp class Executable
9
9
  opt :archs, 'Target architecture(s)', :type => :strings
10
10
  opt :outdir, 'Output directory', :type => :string
11
11
  opt :iters, 'Number of iterations, 0 for infinite', :default => 1
12
- opt :uv, 'UV generator(s)', :default => ['GeneralRelevance']
12
+ opt :uv, 'UV generator(s)', :default => ['UniqueRelevance']
13
13
  opt :qu, 'Qu generator(s)', :default => ['EdgeLabels']
14
14
  opt :qv, 'Qv generator(s)', :default => ['GraphColouring']
15
15
  opt :binary, 'Compute binary decompositions', :default => false
@@ -83,7 +83,7 @@ module ArtDecomp describe Executable do
83
83
  dec = Decomposition.new fsm, Set[0], Set[1], Blanket[B[0],B[1],B[2]], Blanket[], Blanket[]
84
84
 
85
85
  decomposer = mock Decomposer, :decompositions => [dec, dec].each
86
- Decomposer.should_receive(:new).with(:fsm => fsm, :archs => an_instance_of(Set), :uv_gens => [UVGenerator::GeneralRelevance], :qu_gens => [QuGenerator::EdgeLabels], :qv_gens => [QvGenerator::GraphColouring]).and_return decomposer
86
+ Decomposer.should_receive(:new).with(:fsm => fsm, :archs => an_instance_of(Set), :uv_gens => [UVGenerator::UniqueRelevance], :qu_gens => [QuGenerator::EdgeLabels], :qv_gens => [QvGenerator::GraphColouring]).and_return decomposer
87
87
 
88
88
  Executable.new(@args).run false
89
89
  Marshal.load(File.read("#{@dir}/decompositions")).should == [dec, dec]
@@ -33,7 +33,7 @@ module ArtDecomp describe Logging do
33
33
  ex = Executable.new(args)
34
34
  ex.stub!(:best).and_return 69
35
35
  ex.run
36
- log.should =~ rex('FSM 4/2+10s → 5/1+4/2 () with GeneralRelevance, EdgeLabels, GraphColouring – best so far: 69 cells')
36
+ log.should =~ rex('FSM 4/2+10s → 5/1+4/2 () with UniqueRelevance, EdgeLabels, GraphColouring – best so far: 69 cells')
37
37
  log.should =~ rex('final best decomposition: 69 cells; done in 0s (0h 0m 0s)')
38
38
  end
39
39
 
@@ -41,7 +41,7 @@ module ArtDecomp describe Logging do
41
41
  Decomposer.should_receive(:new).and_return mock(Decomposer, :decompositions => [].each)
42
42
  args = ['-a', '5/1', '4/2', '-o', @dir, 'spec/fixtures/fsm']
43
43
  Executable.new(args).run
44
- log.should =~ rex('FSM 4/2+10s → 5/1+4/2 () with GeneralRelevance, EdgeLabels, GraphColouring – no decomposition so far')
44
+ log.should =~ rex('FSM 4/2+10s → 5/1+4/2 () with UniqueRelevance, EdgeLabels, GraphColouring – no decomposition so far')
45
45
  log.should =~ rex('no final decomposition; done in 0s (0h 0m 0s)')
46
46
  end
47
47
 
metadata CHANGED
@@ -1,7 +1,7 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: art-decomp
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.2.0
4
+ version: 0.2.1
5
5
  platform: ruby
6
6
  authors:
7
7
  - Piotr Szotkowski